TECHNICAL SUPPORT DOCUMENT FOR PROCESS
EMISSIONS FROM ELECTRONICS MANUFACTURE
(e.g., MICRO-ELECTRO-MECHANICAL SYSTEMS,
LIQUID CRYSTAL DISPLAYS, PHOTOVOLTAICS,
AND SEMICONDUCTORS ):
PROPOSED RULE FOR MANDATORY REPORTING
OF GREENHOUSE GASES
REVISED - NOVEMEBER 2010
Office of Air and Radiation
U.S. Environmental Protection Agency

-------
Subpart I Technical Support Document
DISCLAIMER
The Environmental Protection Agency (EPA) regulations cited in this technical support document (TSD) contain legally-
binding requirements.
In several chapters this TSD offers illustrative examples for complying with the minimum requirements indicated by the
regulations. This is done to provide information that may be helpful for reporters' implementation efforts. Such
recommendations are prefaced by the words "may" or "should" and are to be considered advisory. They are not required
elements of the regulations cited in this TSD. Therefore, this document does not substitute for the regulations cited in this
TSD, nor is it a regulation itself, so it does not impose legally-binding requirements on EPA or the regulated community. It
may not apply to a particular situation based upon the circumstances. Mention of trade names or commercial products does
not constitute endorsement or recommendation for use.
While EPA has made every effort to ensure the accuracy of the discussion in this document, the obligations of the regulated
community are determined by statutes, regulations or other legally binding requirements. In the event of a conflict between
the discussion in this document and any statute or regulation, this document would not be controlling.

-------
Subpart I Technical Support Document
TABLE OF CONTENTS
1	SOURCE DESCRIPTION	1
1.1	Total U.S. Emissions	2
1.2	GHGs to be Reported	2
2	OPTIONS FOR AND SELECTION OF REPORTING THRESHOLD	3
2.1	Options Considered for Reporting Thresholds	3
2.2	Emissions-Based Threshold Calculations	4
2.3	Reporter Threshold Applicability Determinations	5
3	OPTIONS FOR EMISSIONS CALCULATION AND MONITORING METHODS	7
3.1	Options for Estimating Fluorinated GHG Emissions from Etching and Cleaning	7
3.1.1	2006IPCC TIER 1 METHOD	8
3.1.2	2006 IPCC Tier 2a Method	9
3.1.3	2006 IPCC Tier 2b Method	9
3.1.4	Modified Tier 2b Method	10
3.1.5	Tier 2c Method (Defaults for 5 Process Types/Subtypes)	10
3.1.6	The Refined Method (Defaults Factors for 9 Process Types/Subtypes)	10
3.1.7	Tier 2d Method (Defaults for 4 Process Types/Subtypes, Recipe-specific emission factors
for 1 Process Type)	11
3.1.8	2006 IPCC Tier 3 Method	12
3.1.9	Hybrid Approach A	13
3.1.10	Hybrid Approach B	13
3.1.11	Continuous Emissions Monitoring Systems (CEMS)	13
3.2	Options for Estimating Facility Gas Consumption	13
3.2.1	IPCC Default Heel Factor	14
3.2.2	Gas-and Facility-Specific Heel Factors	14
3.3	Option for Apportioning Gas Consumption	15
3.3.1 Example of Facility-Specific Engineering Model Based on Wafer Pass	16
3.4	Options for Estimating Nitrous Oxide (N20) Emissions	17
3.5	Options for Estimating Heat Transfer Fluids (HTFs) Emissions	18
3.5.1	IPCC Tier 1 Approach	18
3.5.2	IPCC Tier 2 Approach	18
3.6	Options for Reporting Controlled Emissions from Abatement Systems	19
3.6.1	Proper Installation, Operation, and Maintenance	19
3.6.2	Monitoring Abatement System Uptime	19
3.6.3	EPA Default DRE Value	20
3.6.4	Proper Measurement of Abatement System DRE	22
4	QA/QC REQUIREMENTS	22

-------
Subpart I Technical Support Document
5	PROCEDURES FOR ESTIMATING MISSING DATA	23
6	REPORTING AND RECORDKEEPING PROCEDURES	23
7	REFERENCES	27
APPENDIX A - DEFAULT EMISSION FACTORS FOR THRESHOLD APPLICABILITY
DETERMINATION AND ETCH AND CLEAN EMISSION ESTIMATION METHODS 29
APPENDIX B - DEVELOPMENT OF EPA PUBLISHED EMISSION FACTORS FOR THE
TIER 2C AND TIER 2D METHODS	34
APPENDIX C - EVALUATION OF UNCERTAINTY ASSOCIATED WITH ALTERNATIVE
EMISSION ESTIMATION METHODS	39
APPENDIX D - SUPPORT FOR EPA'S DEFINITION OF SIMILAR RECIPE	45

-------
Subpart I Technical Support Document
TABLE OF FIGURES
FIGURE 3-1. TYPOLOGY FOR CALCULATING FLUORINATED GHG EMISSIONS FROM ELECTRONICS
MANUFACTURING	8
FIGURE 3-2. EXPECTED SEMICONDUCTOR FACILITY CONTRIBUTIONS TO TOTAL EMISSIONS	8
FIGURE B-l. DATA FORM SUBMITTED BY SEMICONDUCTOR DEVICE MANUFACTURERS AND EQUIPMENT
MANUFACTURERS TO SEMI	37
FIGURE D-l. RIE ETCHING SHOWING (A) SPUTTERING, (B) CHEMICAL ETCHING AND (C) SPUTTERING
AND CHEMICAL ETCHING	46
FIGURE D-2. ILLUSTRATION OF HYSICAL AND CHECMIAL PROCESSES AND INTERATCTION DURING CF4
PLASMA ETCHING OF SIN ., FILM	48
FIGURE D-3. C2F6 EMISSIONS VS C2F6 INLET FLOW RATE & PRESSURE AT 1:1 OXYGEN: C2F6 RATIO	51
TABLE OF TABLES
TABLE 1-1. SELECTED FLUORINATED GREENHOUSE GASES USED BY THE ELECTRONICS INDUSTRY	1
TABLE 2-1. EMISSIONS-BASED THRESHOLD FOR ELECTRONICS MANUFACTURE (1,000, 10,000, 25,000 AND
100,000 MT C02E)	3
TABLE 2-2. RULE APPLICABILITY UNDER THE PROPOSED EMISSIONS-BASED THRESHOLDS	4
TABLE 3-1. ILLUSTRATIVE CALCULATION FOR NF3 EXAMPLE AT ONE FACILITY	17
TABLE 3-2. ILLUSTRATIVE VERIFICATION FOR HYPOTHETICAL FACILITY-SPECIFIC GAS APPORTIONING
MODEL	17
TABLE 3-3. DATA SET TO DEVELOP EPA DEFAULT DRE VALUE	21
TABLE 3-4. SUMMARY STATISTICS AND DEFAULT DRE (LOWER ONE-SIDED TOLERANCE INTERVAL).... 22
TABLE A-l. DEFAULT EMISSION FACTORS FOR THRESHOLD APPLICABILITY DETERMINATION	29
TABLE A-2. DEFAULT EMISSION FACTORS (1-UD) FOR GAS UTILIZATION (UD) AND BY-PRODUCT
FORMATION RATES (13 J FOR MEMS, LCD, AND PV MANUFACTURING	30
TABLE A-3. DEFAULT EMISSION FACTORS (1-UIJ) FOR GAS UTILIZATION (UIJ) AND BY-PRODUCT
FORMATION RATES (BIJK) FOR SEMICONDUCTOR MANUFACTURING FOR 150 MM AND 200 MM
WAFER SIZES	31
TABLE A-4. DEFAULT EMISSION FACTORS (1-UIJ) FOR GAS UTILIZATION S (UIJ) AND BY-PRODUCT
FORMATION RATES (BIJK) FOR SEMICONDUCTOR MANUFACTURING FOR 300 MM WAFER SIZE 	32
TABLE C-l. ANALOGIES MADE FOR EFS AND ERS FOR VARIOUS FLUORINATED GHGS FOR THE
ALTERNATIVE
METHODOLOGIES	40
TABLE C-2. COMPARISON OF NOMINAL AND SIMULATED MEAN EMISSIONS (MMTCE) AND NORMALIZED
ESTIMATES OF PEE FOR FIVE ALTERNATICE EMISSION ESTIMATION METHODS	42
TABLE D-l CHANGES IN NF3 EMISSIONS (KGCE) AND UTILIZATION FOR VARIOUS INDICATED CHANGES
IN PARAMETERS FOR IN-SITU CHAMBER-CLEANING PROCESS	52
TABLE D-2. AFFECT OF ±10 PERCENT CHANGES IN 02 AND N20 FLOW RATES ON C3F8 UTILIZATION AND
cf4 by-product formation during nitride and oxide in situ plamsa chamber cleaning
	52

-------
Subpart I Technical Support Document
1 Source Description
The electronics industry uses multiple long-lived fluorinated greenhouse gases (fluorinated GHGs), as well as nitrous oxide
(N20) during manufacturing of electronic devices, including, but not limited to, liquid crystal displays (LCDs), micro-
electro-mechanical systems (MEMS), photovoltaic cells (PV), and semiconductors (including light-emitting diodes
(LEDs)1). Fluorinated GHGs are used mainly for plasma etching of silicon materials, cleaning deposition tool chambers,
and wafer cleaning, but may be used in other types of electronics manufacturing processes. Besides dielectric film etching
and chamber cleaning, much smaller quantities of fluorinated GHGs are used to etch polysilicon films and refractory metal
films like tungsten. Additionally, some electronics manufacturing equipment may employ fluorinated GHG liquids as heat
transfer fluids (HTFs). The most common fluorinated GHGs in use are trifluoromethane (HFC-23 or CHF3),
perfluoromethane (CF4), perfluoroethane (C2F6), nitrogen trifluoride (NF3), and sulfur hexafluoride (SF6), although other
compounds such as perfluoropropane (C3F8) and perfluorocyclobutane (c-CFb) are also used (EPA, 2008a). Table 1-1
presents examples of fluorinated GHGs known to be used during manufacture of different types of electronics. N20,
another GHG used in the manufacture of electronics, is used in depositing certain films and other manufacturing processes.
Table 1-1. Selected Fluorinated Greenhouse Gases Used by the Electronics Industry
Product Type
Fluorinated GHGs Used During Manufacture
Semiconductor
CF4, C2F& C3F8j c-C4F8, c-C4F80, C4F& C5F8j CHF3j CH2F2, NF3j SF6, and HTFs.a
MEMS"
CF4, c-C4F8, and SF6
LCD
CF4, CHF3j c-C4F8, NF3j and SF6
PV
cf4, c2f& chf3;c3f8,nf3; sf6
3 For commonly used heat transfer fluids please refer to the U. S. EPA report entitled "Uses and Emissions of Liquid PFC Heat Transfer Fluids"
available at: http://www.epa.gov/semiconductor-pfc/documents/pfc_heat_tranfer_fluid_emission.pdf.
b IPCC guidelines do not specify the fluorinated GHGs used by the MEMS industry. Literature reviews revealed that among others, CF4, SF6, and the
Bosch process (e.g., Bosch process consists of alternating steps of SF6 and C4F8) are used to manufacture MEMS.
Source: IPCC, 2006; Lyshevshi, S., 2001; Gaitan, M. & Takacs, M., 2008.
The electronics manufacture source category consists of the five production processes described below.
• The etching process uses plasma-generated fluorine atoms and other reactive fluorine-containing fragments, which
chemically react with exposed thin-films (e.g., dielectric, metals) or substrate (e.g., silicon), to selectively remove
the desired portions of the material. The material removed, as well as undissociated fluorinated gases, flow into
waste streams and, unless abatement systems are employed, into the atmosphere.
•	Chambers used for depositing dielectric films are cleaned periodically using plasma-generated fluorine atoms and
other reactive fluorine-containing fragments and other gases, such as N20. During the cleaning cycle the gas is
converted to fluorine atoms in plasma, which etches away residual material from chamber walls, electrodes, and
chamber hardware. Undissociated fluorinated gases and other products pass from the chamber to waste streams
and, unless abatement systems are employed, are emitted into the atmosphere.
•	During wafer processing, any residual photoresist material can be removed through an ashing process, which
consists of placing partially processed wafers in an oxygen plasma to which CF4 may be added. The edges of
wafers (the bevel) may also require cleaning to remove yield-reducing residual material. Bevel cleaning may also
use a plasma process with fluorinated GHG chemistry. In both of these wafer cleaning processes, unused
fluorinated GHGs are emitted unless abated.
•	Deposition is a fundamental step in the fabrication of a variety of electronic devices. During deposition, layers of
dielectric, barrier, or electrically conductive films are deposited or grown on a wafer or other substrate. Chemical
vapor deposition (CVD) enables the deposition of dielectric or metal films. During the CVD process, gases that
contain atoms of the material to be deposited react on the wafer surface to form a thin film of solid material. Films
deposited by CVD may be silicon oxide, single-layer crystal epitaxial silicon, amorphous silicon, silicon nitride,
1 LEDs are a semiconductor light source. When a LED is switched on electrons are able to recombine with holes within the device,
releasing energy in the form of light whose color is governed by the nature of the semiconductor. Many LEDs are manufactured on a
wafer (usually different than silicon) using methods that are similar to the manufacture of integrated circuits.
November 2010
1

-------
Subpart I Technical Support Document
dielectric anti-reflective coatings, low-k dielectric, aluminum, titanium, titanium nitride, polysilicon, tungsten,
refractory metals or silicides. N20 may be the oxidizer of choice during deposition of silicon oxide films.
•	Additionally, fluorinated GHG liquids are frequently used as HTFs at semiconductor facilities to cool process
equipment, to control temperature during device testing, to clean substrate surfaces and other parts, and for
soldering, and their high vapor pressures can lead to evaporative losses during use (EPA, 2008b; IPCC, 2006).
Other electronics manufacturing facilities may also employ HTFs for similar uses. HTFs commonly used in
electronics manufacturing include those sold under the trade names "Galden®" and "Fluorinert™."
1.1 Total U.S. Emissions
Emissions of fluorinated GHGs from 216 electronics manufacturing facilities were estimated to be 6.0 Tg C02e in
2006. Below is a breakdown of emissions by electronics product type.
•	Semiconductor: Emissions of fluorinated GHGs, including emissions from the use of HTFs, from 175 facilities
were estimated to be 5.74 Tg C02e in 2006 (EPA, 2008a; Burton, C.S., & Beizaie, R., 2001; ITRS, 2008; SEMI,
2008; VLSI Research, Inc., 2008).2 Of the total semiconductor emissions 5.19 Tg C02e are from etching/chamber
cleaning at full-scale facilities and 0.55 Tg C02e are from HTF usage from all facilities.3 Only etching/cleaning
emissions from full-scale facilities are accounted for in the U.S. Inventory of GHG Emissions and Sinks (EPA,
2008a). Partners of the PFC Reduction/Climate Partnership for Semiconductors comprise approximately 80
percent of U.S. semiconductor production capacity. These Partners have committed to reduce their emissions
(exclusive of HTF emissions) to 10 percent below their 1995 levels by 2010, and their emissions have been on a
general decline toward attainment of this goal since 1999.
•	MEMS: Emissions of fluorinated GHGs from 12 facilities were estimated to be 0.15 Tg C02e in 2006 (SEMI,
2008.4
•	LCD: Emissions of fluorinated GHGs from 9 facilities were estimated to be 0.02 Tg C02e in 2006 (Display Search,
2007).5
•	PV: Emissions of fluorinated GHGs from 20 PV facilities were estimated to be 0.07 Tg C02e in 2006 (Burton,
2006; Roedern, B.V. & Ullal, H.S., 2008; Earth Policy Institute, 2007).6
1.2 GHGs to be Reported
EPA is requiring the electronics industry to report emissions and consumption from the following processes and activities:
•	Fluorinated GHGs emitted from plasma etching.
•	Fluorinated GHGs emitted from chamber cleaning.
•	Fluorinated GHGs emitted from wafer cleaning.
•	N20 emitted from chemical vapor deposition or other electronics manufacturing processes.
•	Fluorinated GHGs emitted from use of HTFs.
2	Total semiconductor facilities include both full-scale, pilot, and R&D facilities.
3	All full scale facilities are assumed to have the same utilization.
4	The estimated total number of MEMS facilities in the U.S. is an underestimate. The estimate was based on the World Fab Watch
database, which provides an incomplete listing of total U.S. MEMS facilities (SEMI, 2007).
5	Estimated total LCD facilities include LCOS, a-Si TFT-LCDs, OLEDs (assuming active matrix), HTPS, TFT, Single Crystal AMLCD,
LTPS facilities. Where, TFT = Thin Film Transistor; LCOS = Liquid Crystal on Silicon; a-Si = amorphous silicon; OLED = Organic
Light Emitting Diode; F1TPS = Fligh Temperature Polysilicon; and AMLCD = Active Matrix Liquid Crystal Display.
6	Estimated total PV facilities includes only silicon based PV facilities (both crystalline and amorphous silicon based PV facilities are
included).
November 2010
2

-------
Subpart I Technical Support Document
•	Consumption of all fluorinated GHGs and N20, including gases used for manufacturing processes other than those
listed above.
•	C02, CH4, and N20 combustion emissions from stationary combustion units by following the requirements of 40
CFR part 98, subpart C (General Stationary Fuel Combustion Sources).7
2 Options for and Selection of Reporting Threshold
2.1 Options Considered for Reporting Thresholds
EPA evaluated a range of emissions threshold options for electronics manufacturing facilities.8 This range included
emissions thresholds of 1,000, 10,000, 25,000, and 100,000 metric tons C02e per year for each type of electronics device
manufacturing facility. Table 2-1 shows these emissions-based threshold options and the number of electronics
manufacturing facilities that are expected to be captured by the respective emissions thresholds. EPA selected 25,000
metric tons C02e per year threshold, which covers 44 percent of electronics manufacturing facilities and 94 percent of the
industry's national emissions, thereby maximizing emissions reporting while excluding small facilities that do not
contribute significantly to the overall GHG emissions.
Table 2-1. Emissions-Based Threshold for Electronics Manufacture (1,000,10,000,25,000 and 100,000 Mt CQ2e)
Emission Threshold
Level
(Metric tons C02e/yr)
Total
National
Emissions
(MtC02e)
Total National
Facilities
Emissions Covered
Facilities Covered
Metric tons
C02e/yr
Percent
Facilities
Percent
1,000
5,984,463
216
5,962,091
99.6%
165
76%
10,000
5,984,463
216
5,813,200
97%
114
53%
25,000
5,984,463
216
5,622,570
94%
94
44%
100,000
5,984,463
216
4,737,622
79%
55
26%
Table 2-2 shows the estimated emissions that would be covered and number of facilities that would report for each type of
electronics manufacturing facility; semiconductors, MEMS, LCD, and PV, under the 25,000 metric tons C02e emissions-
based threshold. The emissions-based threshold is estimated to include approximately 50 percent of facilities that
manufacture semiconductors and approximately 17 percent and 5 percent of the facilities manufacturing MEMS and PV,
respectively. At the same time, the threshold is expected to cover 96 percent of fluorinated GHG emissions from facilities
that manufacture semiconductors, 66 percent of fluorinated GHG emissions from facilities manufacturing MEMS, and 47
percent of fluorinated GHG emissions from facilities manufacturing PVs.
7	On-site combustion emissions from electronics manufacturing facilities are not addressed within this document; please see the
Technical Support Document for Stationary Combustion (EPA-HQ-OAR-2008-0508-046) for more information.
8	For more details on the subpart I threshold analysis, please see the Subpart I Detailed Threshold Analysis available in the docket, EPA-
HQ-OAR-2009-0927.
November 2010
3

-------
Subpart I Technical Support Document
Facilities that manufacture LCDs are not expected to meet the 25,000 Mt C02e threshold; however, the information
available and used in the analysis at this time is limited and incomplete. Facilities that manufacture LCDs are nonetheless
covered by the rule because they use similar fluorinated GHG and N20 manufacturing processes as semiconductor
manufacturing, and because emissions are expected to increase due to high growth in the LCD manufacturing.
As part of this analysis, EPA also evaluated facilities that manufacture LEDs in the US. There are only a few facilities that
manufacture LEDs in the US; however, the data that was used at this time is dated, limited, and incomplete. With a strong
demand for energy efficient lighting, LED manufacturing is poised for high growth in the coming years. According to
recent industry trade association reports, LED manufacturing is expected to grow significantly. "LED and solid state
lighting (SSL) are two markets in the spotlight that attract a lot of attention and new investments. Driven mostly by the
surge of LED-backlight demand for LCD TV panels and the huge potential market in general lighting, demand for LED is
set to explode in the coming years. In view of supply tightness and market potential, new facility and capacity addition
plans have suddenly emerged all over the world in the past year." (Tsang, Clark, 2010). Therefore production processes
used to manufacture LEDs are covered under the electronics manufacturing source category as one specific type of
semiconductor device.
Table 2-2. Rule Applicability under the Proposed Emissions-Based Thresholds
Emissions
Source
Threshold
Total
National
Facilities
Total
Emissions of
Source (metric
tons C02e)
Emissions Covered
Facilities Covered
metric tons
C02e/yr
Percent
Facilities
Percent
Semiconductors
25,000 Mt C02e.
175
5,741,676
5,492,066
96%
91
52%
MEMS
25,000 Mt C02e
12
146,115
96,164
66%
2
17%
LCD
25,000 Mt C02e
9
23,632
0
0%
0
0%
PV
25,000 Mt C02e.
20
73,039
34,340
47%
1
5%
2.2 Emissions-Based Threshold Calculations
Emissions-based threshold estimations for each electronics manufacturing sector were derived in the following ways:
Semiconductors emissions-based threshold estimations were derived using outputs from the EPA PFC Emissions Vintaging
Model (PEVM), as well as EPA PFC Reduction/Climate Partnership for Semiconductors partner and non-partner shares of
U.S. emissions. Additionally, the semiconductor emissions threshold estimations determined accounted for heat transfer
fluid emissions by assuming that these emissions were equivalent to 11 percent of total clean and etch emissions at a
facility.
MEMS emissions-based threshold estimations were derived using an emission factor developed by EPA. EPA estimated an
emission factor because no IPCC Tier 1 default emission factor exists. Assuming that MEMS are manufactured using the
Bosch etching process, the utilization of SF6 in the production of MEMS was assumed to be the same as the utilization of
SF6 in the etching of semiconductors due to the similarity between both of the manufacturing processes.9 However,
because SF6 is used in only about 20 percent of semiconductor processes, the majority of which are etching processes, and
9 Although the Bosch etching process uses both SF6 and C4F8, C4F8 was not included because it has a high utilization rate (i.e., a high
fraction of C4F8 is dissociated during the etching or cleaning process).
November 2010
4

-------
Subpart I Technical Support Document
it is assumed in this analysis that SF6 is used in all MEMS processes, the 2006 IPCC Tier 1 SF6 semiconductor emission
factor (per area of substrate) was multiplied by five to estimate the MEMS emission factor per area of substrate.
Additionally, the MEMS emission factor was scaled up by an additional factor of 1.2 to account for increased SF6 usage in
MEMS manufacturing as compared to semiconductor manufacturing .
LCD and PV emissions-based threshold estimations were derived using 2006 IPCC Tier 1 emission factors.
Emissions reductions from the use of abatement systems were not accounted for in the any of the threshold analyses.
2.3 Reporter Threshold Applicability Determinations
Presented below are the methods reporters are required to use to determine whether their electronics manufacturing
facility(ies) meets or exceeds the threshold of 25,000 metric tons C02e.
Facilities that Manufacture Semiconductor and LCD: To determine whether a facility that manufactures semiconductors
(including LEDs) or LCDs meets the threshold, the facility would use the IPCC Tier 1 approach and IPCC Tier 1 emission
factors. To account for heat transfer fluid use at semiconductor facilities, a facility would add an additional 10 percent of
their clean and etch emissions to their total facility emissions.10
Facilities that Manufacture MEMS: To determine whether a facility that manufactures MEMS meets the threshold, the
facility would use the IPCC Tier 1 approach and the EPA estimated emission factor for MEMS.
Facilities that Manufacture PV: To determine whether a facility that manufactures PV meets the threshold, the facility
would multiply annual fluorinated GHG purchases or consumption by the gas-appropriate 100-year GWPs (as defined in
Table A-l to subpart A of part 98). This method for PV facilities is expected to provide a more representative estimate of
emissions than the IPCC Tier 1 approach and emission factors. IPCC Tier 1 factors for PV are highly uncertain because
they were developed based on analogy to the IPCC Tier 1 factors for LCD due to limited PV data availability.
Calculations to determine threshold applicability are presented below. Note that the equations below are to be used only for
determining whether an electronics manufacturing facility falls above or below the threshold of 25,000 metric tons C02e.
For calculating emissions of each fluorinated GHG i, for facilities that manufacture semiconductors or MEMS:
E1 =S*EF1 *GWPt *0.001	(Eq. 1)
Where:
E	=	Annual production process emissions of input gas i (metric tons C02e).
S	=	100% of annual manufacturing capacity of a facility (m2). (see Eq. 5)
EF	=	Emission factor for input gas i (kg/m2) (see Appendix A).
GWP,	=	Gas-appropriate GWP (see Table A-l to subpart A, Global Warming
Potentials).
0.001	=	Conversion factor from kg to metric tons,
i	=	Input gas.
For calculating emissions of each fluorinated GHG i, for facilities that manufacture LCDs:
10 For simplicity, semiconductor facilities would estimate HTF emissions as 10% of total clean and etch emissions, as
opposed to 11% which was used in our threshold analyses as presented above.
November 2010
5

-------
Subpart I Technical Support Document
E, = S*EF1 *GWPt *0.000001	(Eq. 2)
Where:
E = Annual production process emissions of input gas i (metric tons C02e).
S = 100% of annual manufacturing capacity of a facility (m2). (see Eq. 5)
EF = Emission factor for input gas i (g/m2) (see Appendix A).
GWP, =	Gas-appropriate GWP (see Table A-1 to subpart A, Global Warming
Potentials).
0.000001 = Conversion factor from g to metric tons,
i	= Input gas.
For calculating emissions of each fluorinated GHG i, for facilities that manufacture PVs:
E; = Cl * GWPl * 0.001	(Eq. 3)
Where:
E = Annual production process emissions of input gas i (metric tons C02e).
C = Annual fluorinated GHG (gas i) purchases or consumption (kg).
GWP! =	Gas-appropriate GWP (see Table A-1 to subpart A, Global Warming
Potentials).
0.001 =	Conversion factor from kg to metric tons,
i	= Input gas.
To sum emissions of all input gases i for all facilities:
Et=S*YjE1	(Eq. 4)
Where:
Et	= Annual production process emissions of all fluorinated GHGs (metric tons C02e).
5	= Factor accounting for heat transfer fluid emissions, estimated as 10 percent of total annual production
process emissions at a semiconductor facility. Set equal to 1.1 when calculating total annual production
process emissions from semiconductor manufacturing. Set equal to 1 calculating total annual production
process emissions from MEMS, LCD, or PV manufacturing.
E	= Annual production process emissions of input gas i (metric tons C02e), as calculated Eqs. 1, 2, or 3.
i	= Input gas.
For calculating a facility's annual manufacturing capacity:
To determine 100 percent of annual manufacturing capacity, facilities would sum the maximum designed substrate starts of
a facility over each month of a year as presented in Equation 5 below. Maximum designed substrate starts is defined as the
maximum quantity of substrates, expressed as surface area, that could be started each month during a reporting year if the
facility were fully equipped as defined in the facility design specifications and if the equipment were fully utilized. It
denotes 100 percent of annual manufacturing capacity of a facility.
November 2010
6

-------
Subpart I Technical Support Document
12
S =2X
(Eq.5)
Where:
x
Wx
s
100 percent of annual manufacturing capacity of a facility (m2).
Maximum designed substrate starts of a facility in month x (m2 per month).
Month.
None of the methods for determining threshold applicability account for controlled emissions by abatement systems. EPA
is not permitting accounting for emissions reductions from abatement systems because while electronics manufacturers may
employ emissions abatement systems (e.g., thermal oxidizers) to lower their emissions and use the manufacturer published
destruction or removal efficiency (DRE) for the system, abatement systems may fail to achieve their rated DREs for two
reasons. First, the equipment may not be properly operated and maintained. Second, the DRE itself may have been
incorrectly measured due to a failure to account for the effects of dilution (e.g., CF4 can be off by as much as a factor of 20
to 50 and C2F6 can be off by a factor of up to 10 because of failure to properly account for dilution [Burton, 2007].) In
either event, the actual emissions from facilities employing abatement systems may exceed estimates based on the rated
DREs of the systems and may therefore exceed the MtC02e threshold without the knowledge of the facility operators.
Hence, accounting for reductions in emissions from the use of abatement systems when determining if a facility exceeds the
proposed 25,000 metric ton C02e threshold limit is not permitted because the DRE used in such a calculation cannot be
verified.
3 Options for Emissions Calculation and Monitoring Methods
EPA evaluated a range of options for estimating process emissions from productions processes used in electronics
manufacturing. Each one of these options is briefly described below.
To estimate and report fluorinated GHGs from etching and cleaning, EPA evaluated the 2006 IPCC Tier 1, Tier 2a, Tier 2b,
and Tier 3 methods, as well as hybrids, refinements of, and modifications to those methods.11 Lastly, EPA evaluated the
use of continuous monitoring emissions systems (CEMS).
Many of the options described below, including the IPCC Tier 2b, Modified Tier 2b, Refined Method, Tier 2c, Tier 2d, and
the IPCC Tier 3 methods use the typology presented in Figure 3-1 below. At the top of the typology figure are process
types, which are broad groups of manufacturing steps used at a facility associated with substrate (e.g., wafer) processing
during device manufacture for which fluorinated GHG emissions and fluorinated GHG usages are calculated and reported.
The process types are plasma etching, chamber cleaning, and wafer cleaning. Process types for various methods include
etching and chamber cleaning, and for some methods, wafer cleaning.
The second level in the figure consists of process sub-types. Process sub-types are sets of similar manufacturing steps, more
closely related within a broad process type. (Note, for clarity, EPA is referring to what was previously termed process
categories in the April 2010 proposed rule (75 FR 18652) as process sub-types). Figure 3-1 only identifies process sub-
types for the chamber cleaning process type, however in an option described below (mainly the Refined Method) process
sub-types could be established for any process type. For example, under the plasma etching process type either film-based
(e.g., oxide etch, nitride etch) or feature-based (e.g., gate etch, deep trench etch) process sub-types could be established;
under the wafer cleaning process type, the sub-types of ashing and bevel cleaning could be established. At the lowest level
of the typology tree in Figure 3-1 are production process recipes ("recipes"). The recipe typology is discussed further in
section 3.1.7.1 below.
11 It is important to note that the IPCC methods were developed to estimate national averages of emissions for specific sources (e.g.
national emissions from semiconductor manufacturing) and not for facility-specific emissions.
3.1 Options for Estimating Fluorinated GHG Emissions from Etching and Cleaning
November 2010
7

-------
Subpart I Technical Support Document
PiocessType | Plasma Etching
Process Sub-type
Production Process Recipe
Chamber Cleaning
Water Cleaning
In-Situ Plasma
Remote P asrna
n-Situ Therma
R; Rj R4 Rj
Rj R:j R4 Rj
Rj R;: R4 Rj
Rj R3 R4 R5
h-2 R3 R4 Rj
Figure 3-1. Typology for Calculating Fluorinated GHG Emissions from Electronics Manufacturing
For some of the methods for estimating emissions from etching and cleaning discussed below, a distinction is made for
facilities that manufacture semiconductors (see Tier 2c, Tier 2d, and Hybrid Approaches). Semiconductor manufacturing
facilities would select the appropriate etch and clean emission estimation methods based upon facility size expressed in
terms of annual manufacturing capacity.
The largest semiconductor manufacturing facilities are defined as those with a capacity of greater than 10,500 m2 of
substrate (e.g., silicon), as calculated using Equation 5 above. The largest semiconductor manufacturing facilities account
for nearly two-thirds of uncontrolled emissions from semiconductor manufacturing while accounting for less than 20
percent of all semiconductor facilities expected to report under subpart I. Applying this distinction, EPA expects that 29 of
the estimated 175 semiconductor facilities will be classified as "large" facilities. These results were based on the analysis
illustrated in Figure 3-2 below.12
29 sources owned by
11 companies account
for nearly two-thirds of
total emissions.
0	50	100	150	200
Expected Number of Semiconductor Facilities Covered Under Subpart I
Figure 3-2. Expected Facility Contributions to Total Emissions from Semiconductor Manufacturing
3.1.1	2006 IPCC Tier 1 Method
For the Tier 1 approach, the surface area of substrate (e.g., silicon, LCD or PV-cell) produced during electronics
manufacture is multiplied by default gas-specific emission factors. The advantages of the Tier 1 approach lie in its
12 See footnote 8.
November 2010
8

-------
Subpart I Technical Support Document
simplicity. However, this method does not account for the differences among process types (i.e., etching versus cleaning),
individual processes, recipes, or tools, which leads to uncertainties in the default emission factors of up to 200 percent at
the 95 percent confidence interval (IPCC, 2006).13 Moreover, facilities routinely monitor gas consumption in the ordinary
course of business, making it technically feasible to employ a method with the complexity of at least the 2006 IPCC Tier 2a
approach without additional data collection efforts.
3.1.2	2006 IPCC Tier 2a Method
For the Tier 2a approach, chemical-specific gas consumption is multiplied by default emission factors for utilization, and
by-product formation. The Tier 2a approach is relatively simple, given that gas consumption data is collected in the
ordinary course of business. However, due to variation in gas utilization between etching and cleaning processes, the
emissions estimated using the Tier 2a approach have greater uncertainty than emissions estimated using the Tier 2b
approach.
3.1.3	2006 IPCC Tier 2b Method
For the Tier 2b approach, chemical-specific gas consumption by process type (etch or chamber clean) is multiplied by
default emission factors for utilization and by-product formation.14 This approach requires facilities to determine gas
consumption by process type (etch and clean). Equation 6 below is used to estimate fluorinated GHG emissions for process
type (j) for input gas (i), and Equation 7 below is used to estimate byproduct gas (k) that results from input gas (i)
utilization during process type (j).15
Ey = q * (1 - U;j) * (1 - a;j * dy)* 0.001 (Eq. 6)
Where:
Ey	=	Annual emissions of input gas i from process type j (metric tons).
C'n	=	Amount of input gas i consumed for process type j (kg), (see Eq. 8)
UM	=	Process utilization for input gas i for process type j (decimal fraction).
aij	=	Fraction of input gas i used in process type j with abatement systems (decimal fraction).
dM	=	Fraction of input gas i destroyed or removed in abatement systems connected to process tools
where process type j is used (decimal fraction), (see Eq. 13)
0.001	=	Conversion factor from kg to metric tons,
i	=	Input gas.
j	=	Process type.
BEl]k = Bljk * Ctj * (1 - av * d]k)* 0.001	(Eq. 7)
Where:
BEljk	=	Annual emissions of by-product k formed from input gas i from process type j (metric tons).
Bljk	=	Amount of gas k created as a by-product per kg of input gas i consumed for process type j (kg).
C'n	=	Amount of input gas i consumed for process type j (kg), (see Eq. 8)
13	This uncertainty refers only to semiconductors and LCDs. Tier 1 emission factor uncertainty for PV was not estimated in the IPCC
Guidelines (IPCC, 2006). Additionally, emissions from MEMS are not addressed in the 2006 IPCC Guidelines.
14	For all methods based on default emission factors specified in the final rule (e.g., the Tier 2b, Tier 2c, and Tier 2d methods), a facility
must use the default factors provided by EPA; the only exception is if a facility uses a fluorinated GHG for a particular process type or
sub-type for which default emission factors are not provided. Where defaults are not provided, the facility must either use utilization and
by-product formation rates of 0 or, in that particular instance, use directly measured recipe-specific emission factors following the
methods outlined in section 3.1.7.1.
15	Note the 2006 IPCC Guidance for National Greenhouse Gas Inventories equations for estimating emissions and by-product emissions
in the electronics industry (Equations 6.7 through 6.11) include a term for the heel of gas cylinders/containers. However, the heel term
has been excluded in Equations 6 and 7 because it is already accounted for when overall gas consumption is estimated (shown in
Equations 8 and 9).
November 2010
9

-------
Subpart I Technical Support Document
a^	=	Fraction of input gas i used in process type j with abatement systems.
djk	=	Fraction of input gas i destroyed in abatement systems connected to process tools where process
type j is used (decimal fraction), (see Eq. 13)
0.001	=	Conversion factor from kg to metric tons,
i	=	Input gas.
j	=	Process type,
k	=	By-product gas.
Although the uncertainty relative to Tier 2a is reduced, the Tier 2b approach also does not account for variation among
individual recipes, processes or tools and, therefore, the estimated emissions will have greater uncertainty compared to Tier
3 emissions estimates. The Tier 2b method, as shown in the uncertainty analysis performed by EPA, may understate actual
emissions, (see Appendix C)
3.1.4	Modified Tier 2b Method
The Modified IPCC Tier 2b approach is based on the 2006 IPCC Tier 2b method (as described above). However, the
Modified Tier 2b approach takes into account gas- and facility- specific heel factors, as opposed to utilizing a default value
for heel factor as suggested in the IPCC Guidelines. Emission estimations using the Modified IPCC Tier 2b approach are
assumed to be less uncertain than estimations determined using the 2006 IPCC Tier 2b method. This is because when using
a default heel factor, gas consumption is likely to be less representative of actual gas consumption, and hence emissions, in
comparison to using gas- and facility- specific heel factors, (see section 3.2 for more discussion on the use of default versus
gas- and facility- specific heel factors)
3.1.5	Tier 2c Method (Defaults for 5 Process Types/Subtypes)
The Tier 2c Method expands on the Modified Tier 2b method for facilities that manufacture semiconductors. In the Tier 2c
method, emissions would be estimated for five process type/subtypes: plasma etching, chamber cleaning (including in-situ
plasma, remote plasma, and in-situ thermal), and wafer cleaning. For the Tier 2c Method, gas consumption apportioned to
process types or sub-types is multiplied by default emission factors for utilization and by-product formation, (dependent on
the size of wafer manufactured at a facility)16, using Equations 6 and 7, respectively.17 EPA considered the Tier 2c method
for facilities that manufacture semiconductor facilities and that have annual manufacturing capacities of 10,500 m2 or less
(ass calculated using Equation 5).18 Because the Tier 2c method is more granular than the IPCC and Modified Tier 2b
methods,19 EPA anticipates that its use will result in more accurate emission estimates.
3.1.6	The Refined Method (Defaults Factors for 9 Process Types/Subtypes)
The Refined Method, which was evaluated as part of the April 2010 proposal, expands on the two process types (etch and
clean) of the IPCC Tier 2b method by requiring that emissions be estimated from the etch, chamber clean and wafer clean
process types by summing emissions from various process sub-types For the Refined Method, gas consumption
apportioned by process sub-type is multiplied by default emission factors for utilization and by-product formation20, using
Equations 6 and 7, respectively.21
While process sub-types can be defined in many ways, the Refined Method utilizes nine process sub-types which include
four sub-types for etching, three sub-types for chamber cleaning, and two sub-types for wafer cleaning. The etching
categories include oxide etch, nitride etch, silicon etch, and metal etch; the chamber cleaning categories include in situ
16	See Appendix A for the default factors, and Appendix B for background on how the default factors were developed.
17	When using Equations 6 and 7 for the Tier 2c method "j" indexes process sub-types or process types.
18	The Tier 2c method was finalized as part of the final rule, and all semiconductor facilities with annual manufacturing capacities of
10,500 m2 substrate of less are required to calculate and report process emissions using this method. See the preamble for a discussion
why EPA selected this method.
19	For the Tier 2c method emissions must be estimated for three chamber cleaning process sub-types as opposed to just the broad
chamber cleaning process type used in the Tier 2b methods.
20	EPA did not finalize default emission factors for the etch subtypes presented in the Refined Method.
21	When using Equations 6 and 7 for the Refined Method "j" indexes process sub-types as opposed to process types.
November 2010
10

-------
Subpart I Technical Support Document
plasma cleaning, remote plasma cleaning, and in situ thermal cleaning; and the wafer cleaning categories include bevel
cleaning and ashing.
For the Refined Method EPA considered additional potential process sub-types for etching and chamber cleaning to replace
or complement the process sub-types defined above. For etching, in addition to the four thin-film based sub-types defined
above, EPA considered the use of the feature-based sub-types contact etch, self-alignment contact etch, gate etch, deep
trench etch, isolation trench etch, through silicon vias and regular vias. Each of these represents a specific feature achieved
through etching (instead of subcategories based on the type of thin film etched). For chamber clean, alternative sub-types
may distinguish between the types of films being removed from the chamber during cleaning. These might include
distinguishing between chambers coated with tungsten and silicon-based films, or distinguishing between thin-film
deposition equipment manufacturers.
There are no published emission factors for the refined process categories as defined in the Refined Method, therefore to
obtain emission factors (i.e., utilization and by-product formation rates) for each process category, EPA undertook a
process to collect data from industry, and evaluated its robustness and usefulness for creating emission factors through an
averaging scheme, either simple or weighted depending on information received. This process is further discussed in the
TECHNICAL SUPPORT DOCUMENT (UPDATED) FOR PROCESS EMISSIONS FROM ELECTRONICS
MANUFACTURE (e.g., SEMICONDUCTORS, LIQUID CRYSTAL DISPLAYS, PHOTOVOLTAICS, AND MICRO-
ELECTRO-MECHANICAL SYSTEMS): PROPOSED RULE FOR MANDATORY REPORTING OF GREENHOUSE
GASES (March 22, 2010) and the Subpart I Notice of Data Availability (75 FR 26904).22 EPA obtained a sufficient
amount of information to establish default emissions factors for multiple chamber clean process sub-types, but there was an
insufficient amount of information to support establishing default emission factors for multiple etch process sub-types.
Therefore the Refined Method was not adopted for the final rule 23
EPA considered the Refined Method for semiconductor facilities only, as semiconductor manufacturing is understood to be
more variable and complex than other electronics manufacturing. The goal in establishing process sub-types under each
process type is to account for variability in emission factors across processes to reduce uncertainty in emission estimates,
while limiting the total number of process sub-types for which gas usage must be tracked. EPA expects that estimating
emissions based on process sub-types for etch with robust default factors would result in more accurate facility-level
emission estimates as compared to estimating emissions using a single broad etch process type as presented in the IPCC
and Modified Tier 2b methods.
3.1.7	Tier 2d Method (Defaults for 4 Process Types/Subtypes, Recipe-specific emission factors
for 1 Process Type)
For the Tier 2d method,24 which uses the same process types/sub-types as the Tier 2c method, gas consumption is
apportioned to the chamber cleaning process sub-types (including in-situ plasma, remote plasma, and in-situ thermal) and
the wafer cleaning process type and is multiplied by various default emission factors for utilization and by-product
formation, (dependent on the size of wafer manufactured at a facility, see Appendix A), using Equations 6 and 7,
respectively.25 However, for the plasma etching process type, emissions are estimated by apportioning gas consumption to
etch recipes, each with directly measured recipe-specific emissions factors for utilization and by-product formation. Based
on an uncertainty analysis conducted by EPA, the Tier 2d method appears to be more precise than the Tier 2c method (see
Appendix C). EPA evaluated the Tier 2d method for the largest semiconductor manufacturing facilities because, as
discussed above, the largest facilities are expected to account for nearly 2/3 of all potential emissions, while accounting for
less than 20 percent of all covered semiconductor facilities.26
The Tier 2d method is focused on recipe-specific emission factors for etching processes because of the apparent gaps in the
available emission factor knowledge base for etching processes used in the industry. While more than half of the gas
consumed in semiconductor manufacturing is for chamber cleaning, most of the variability in gas consumption, and hence
22	Both documents are available in the docket at EPA-HQ-OAR-2009-0927.
23	Please see the preamble for more discussion on the reasons why the Refined Method was not finalized.
24	The Tier 2d method was finalized as part of the final rule, and all large semiconductor facilities (semiconductor facilities with annual
manufacturing capacities greater than 10,500 m2 substrate) are required to calculate and report process emissions using this method. See
the preamble for a discussion why EPA selected this method.
25	When using Equations 6 and 7 for the Tier 2d method "j" indexes recipes, process sub-types, or process types.
26	See the preamble for further discussion of EPA's considerations for the Tier 2d method and steps taken to reduce burden.
November 2010
11

-------
Subpart I Technical Support Document
emissions, across many facilities is found for recipes used for etching. Semiconductor etch recipes utilize approximately
six or more fluorinated GHGs, either alone or in combination and in various mixtures. Process recipes may vary between
facilities because they are considered a function of company competitiveness and innovation.
3.1.7.1 Development of Recipe-Specific Emission Factors
Recipe-specific emission factors would be developed for each individual recipe, or for a set of similar recipes. An
individual recipe refers a specific combination of gases, under specific conditions of reactor temperature, pressure, flow,
radio frequency (RF) power and duration, used repeatedly to fabricate a specific feature on a specific film or substrate.
Recipe-specific emissions factors developed for measurements already made for an individual recipe may be applied to a
set of similar recipes, where similar, with respect to recipes means those recipes that are composed of the same set of
chemicals and have the same flow stabilization times and where the documented differences, considered separately, in
reactor pressure, individual gas flow rates, and applied radio frequency (RF) power are less than or equal to plus or minus
10 percent. For purposes of comparing and documenting recipes that are similar, facilities may use either the best known
method provided by an equipment manufacturer or the process of record, for which emission factors for either have been
measured. (Technical support for the definition of similar recipes can be found in Appendix D)
This definition of similar recipe applies to in-situ and RPS chamber cleaning as well as etching. It applies to in-situ
chamber cleaning by virtue of the representative in situ chamber cleaning studies reviewed in Appendix D, which show that
for changes in individual recipe variables of 10 percent or less results in corresponding changes in emissions of less than 10
percent. The recipes considered in Appendix D used NF3, C2F6 and C3F8. The definition remains valid for contemporary
RPS chamber cleaning recipes. As shown by Chen et. A1 (2003), NF3 utilization, which together with duration of cleaning
time governs emissions during NF3-based RPS chamber cleaning, is relatively insensitive to changes in flow rate (over the
wide range 2 to 6 1pm) and pressure (over the relatively wide range of 3 to 10 torr). Over these ranges, Chen et. al (2003)
report NF3 utilization efficiencies at 99 percent or above.
In a given reporting year, a facility must develop new recipe-specific emission factors only for recipes that are not similar to
any recipe used in a previous reporting year. Three examples of how a facility may develop (or obtain) recipe-specific
emission factors are presented below:
1.	Make direct measurements on-site at the facility.
2.	Obtain measurement information, and hence emission factors, from tests performed by a third-party, such as a tool
supplier. (Any measurements made by a third-party are required to have been made for recipes that are similar
recipes (as defined above) to those used at the facility.
3.	Use factors from another facility that uses similar recipes. (For example, there are instances where a company
operating multiple facilities will use the same or similar recipes in more than one facility; in this instance,
measured recipe-specific emission factors for a recipe used at one facility may also be used for estimating
emissions from the use of a similar recipe at another facility.)
All recipe-specific emission factors must be measured using the International SEMATECH Technology Transfer
(#06124825A-ENG) (December 2006). A facility may use recipe-specific emission factors that were developed prior to
January 1, 2007, provided they were measured using the International SEMATECH Technology Transfer (#01104197A-
XFR) (December 2001).
3.1.8	2006 IPCC Tier 3 Method
The Tier 3 method uses the same equations as the IPCC Tier 2b, Refined Method, Tier 2c and Tier 2d approaches
(Equations 6 and 7)27, but requires facility-specific data on (1) gas consumption, (2) gas utilization, (3) by-product
formation, rather than applying any default values. The 2006 IPCC Guidelines state that for the Tier 3 method, plant-
specific values should be used for each individual process or for each small sets of processes. There may be various ways
processes or sets of processes could be defined, for instance by process platforms, processes or recipes. The Tier 3 method
in the context of this rule uses gas consumption to be apportioned to recipes, multiplied by requires facility-specific, recipe-
specific emission factors for utilization and by-production formation, developed for each individual recipe or set of similar
27 When using Equations 6 and 7 for the IPCC Tier 3 approach "j" indexes recipes as opposed to process types.
November 2010
12

-------
Subpart I Technical Support Document
recipes as discussed above in section 3.1.7.1. The use of the Tier 3 method is estimated to result in the least uncertain
estimates amongst the methods presented by IPCC (IPCC 2006).
3.1.9	Hybrid Approach A
Hybrid Approach A, which was evaluated as part of the April 2009 proposal, requires the largest semiconductor facilities
(facilities with production capacities of greater than 10,500 m2 silicon) to estimate their etching and cleaning emissions
using an approach based on the IPCC Tier 3 method; all other facilities (including other semiconductor manufacturing and
other electronics manufacturing facilities) would be required to use the IPCC Tier 2b method.
3.1.10	Hybrid Approach B
Hybrid Approach B, which was evaluated as part of the April 2009 proposal, requires Tier 3 reporting for all semiconductor
facilities, but only for the top three gases emitted at each facility. For all other gases, the Tier 2b approach is required. The
top three gases emitted, based on data in the Inventory of U.S. GHG Emissions and Sinks, are C2F6, CF4, and SF6 (EPA,
2008a). These top three gases accounted for approximately 80 percent of total fluorinated GHG emissions from
semiconductor manufacturing during etching and chamber cleaning in 2006.
3.1.11	Continuous Emissions Monitoring Systems (CEMS)
CEMS requires facilities to install and operate CEMS to measure process emissions. A typical electronics manufacturing
facility may have many individual process tools that influence emissions. Process tool exhaust is managed within the
facility using stainless steel plumbing and ductwork. Due to the complexity of the manufacturing layout, CEMS would
either need to be attached to every tool or to a final exhaust point (e.g., scrubber stacks). One possible option is to use
Fourier Transform Infrared Spectrometers (FTIRs) in scrubber stacks to measure facility emissions. FTIR spectroscopy is
presently used to conduct short-term fluorinated GHG emission measurements on single tools. Another option would be to
either continuously or intermittently bring a gas sample to one or more centrally located FTIRs, in which case any dilution
issues that may arise when measuring fluorinated GHGs in stacks may be avoided.
3.2 Options for Estimating Facility Gas Consumption
Several of the estimation methods described above require gas consumption to be used to estimate emissions. Equations 8
and 9 below are used to estimate gas consumption for any input gas i used at an electronics manufacturing facility.
Ci=(IBi-IEi +Ai-Di)	(Eq.8)
Where:
C	= Annual consumption of input gas i (kg).
IBi	= Inventory of input gas i stored in containers at the beginning of the reporting year, including heels
(kg)28
IEi	= Inventory of input gas i stored in cylinders or other containers at the end of the reporting year,
including heels (kg).29
A1	= Acquisitions of input gas i during the reporting year through purchases or other transactions, including
heels in containers returned to the electronics manufacturing facility (kg).
D	= Disbursements of input gas i through sales or other transactions during the year, including heels in
containers returned by the electronics manufacturing facility to the chemical supplier (kg), (see Eq. 9)
i	= Input gas.
28	For containers in service at the beginning of a reporting year, account for the quantity in these containers as if they were full.
29	For containers in service at the end of a reporting year, account for the quantity in these containers as if they were full.
November 2010
13

-------
Subpart I Technical Support Document
M
A=Z (ha*Na*Fa) + Xt	(Eq.9)
1=1
Where:
D	= Disbursements of input gas i through sales or other transactions during the reporting year, including
heels in containers returned by the electronics manufacturing facility to the gas distributor (kg).
h,	= Facility-wide gas-specific heel factor for input gas i and container size and type 1 (expressed as a
decimal fraction), as determined in §98.94(b).30
N,	= Number of containers of size and type 1 returned to the gas distributor containing the standard heel of
input gas i.
F,	= Full capacity of containers of size and type 1 containing input gas i (kg).
^	= Disbursements under exceptional circumstances of input gas i through sales or other transactions during
the reporting year, including those measured in exceptional circumstances (kg).
i	= Input gas.
1	= Size and type of gas container.
M	= The total number of different sized container types. If only one size and container type is used for an
input gas i, M=l.
For the heel factor31 (hlL) used in Equation 9, EPA evaluated two options including the IPCC default heel factor and gas-and
facility-specific heel factors. Both of these options are described below.
3.2.1	IPCC Default Heel Factor
The IPCC default value for the fraction of gas remaining in the shipping container (i.e. the "heel") is 10 percent (IPCC,
2006). This value is intended to be applicable to all gas containers, regardless of the gas type or container size or shape.
However, heels may vary among gases and container sizes and shapes. Differences in gas usage practices may also exist
between facilities which would not be accounted for when using the IPCC default heel factor. Therefore, the use of the
IPCC default heel factor may result in misestimating gas consumption and emissions.
3.2.2	Gas-and Facility-Specific Heel Factors
Facility-specific heel factors for each gas and container type and size are based on the residual weight or pressure of the gas
container, or trigger point for change out, that a facility uses to change out that container for each container type for each
gas used. By using these trigger points, along with the initial mass of the container, gas-and facility-specific heel factors
can be calculated.32
To account for exceptional circumstances33 when gas containers are not changed precisely when they reach the targeted
trigger points, EPA evaluated the option of requiring reporters to weigh or determine the pressure of the gas container as
opposed to using the facility-wide gas-specific heel factor as part of determining the net amount of gas used at a facility. To
account for changes in gas consumption practices, EPA considered two situations in which facility-wide gas-specific heel
30	If a facility uses less than 50 kg of a fluorinated GHG or N20 in one reporting year, the facility may assume that any hu for that
fluorinated GHG or N20 is equal to zero.
31	Heel is defined as the amount of gas that remains in a gas cylinder or container after it is discharged or off-loaded.
32	The initial mass of a container may be determined through gas supplier documents; however the reporter remains responsible for the
accuracy of these records.
33	EPA is requiring an exceptional circumstance be defined as one in which a cylinder/container is changed at a residual mass or pressure
that differs by more than 20 percent from the "trigger point for change out." When using mass based trigger points for change, it should
be determined if exceptional circumstances have occurred based on the net weight of gas in containers, excluding the tare weight of the
container.
November 2010
14

-------
Subpart I Technical Support Document
factors would have to be recalculated; both when the trigger point for change out used to establish a heel factor for a gas
and container type differs by more than one percent or five percent from the previously used trigger point for change out.
The use of a gas-and facility-specific heel factor is expected to produce more accurate estimates of gas consumption in
comparison to the use of the IPCC default value of 10 percent. This is because the amount of gas that remains in a gas
container after it is discharged or off-loaded is not the same for every gas and container type, and the default value does not
account for exceptional circumstances or variations in gas consumption practices within or between facilities. Therefore
applying a broad default value to every gas container will lead to estimations that may not be representative of actual
facility gas consumption, and hence facility emissions.
3.3 Option for Apportioning Gas Consumption
When estimating emissions using methods such as the Modified IPCC Tier 2b or the Tier 2c or Tier 2d methods, and a
fluorinated GHG is used in more than one process type or sub-type (e.g., C2F6 used for both etch and clean processes),
facility-wide gas consumption must be apportioned to the appropriate process types, process sub-types, or recipes through
the use of Equation 10. The product of that apportioned gas usage and the corresponding emission factor (either default or
recipe-specific) will equate to a facility's uncontrolled emissions for a specific process type, process sub-type, or recipe .
These emissions can be summed within and over all process types and categories at a facility to determine total facility-
wide uncontrolled emissions.
Cij=fij * Ci	(Eq. 10)
Where:
C'n	=	Annual amount of input gas i consumed for recipe, process subtype, or process type j (kg),
f,,	=	Recipe-specific, process sub-type-specific, or process type-specific gas apportioning factor (decimal
fraction).34
C	=	Annual consumption of input gas i (kg), (see Eq. 8)
i	=	Input gas.
j	=	Recipe, process sub-type or process type.
Apportioning factors (f,,) used in Equation 10, would be developed using facility-specific engineering models based on a
quantifiable metric selected by a facility (such as wafer passes or wafer starts).35 This model, utilizing measurable process
information, may be based on the most appropriate quantifiable metric for each facility. Such a model utilizes facility
process information to determine apportioning factors using the ratio of the amount of input gas i used per recipe (process
category, process type) multiplied by the number of times that recipe is used on a tool and the number of tools that recipe is
used on over the total amount of gas i used.
Given that facilities may select how to construct, and on which quantifiable metric to base facility-specific engineering
models for gas apportioning, EPA considered various documentation and verification steps for facilities to take.
Documentation
As part of recordkeeping requirements, in site GHG Monitoring Plans (required in §98.3(g)(5)), specific information about
their facility-specific engineering model, including definitions of variables, derivations of equations and formulas, and
example calculations to ensure apportioning factors are repeatable36 would be documented and updated annually. This
34	See Section 3.3 discussion on apportioning.
35	Wafer passes is a count of the number of times a wafer substrate is processed in a specific process recipe, sub-type, or type. The total
number of wafer passes over a reporting year is the number of wafer passes per tool multiplied by the number of operational process tools
in use during the reporting year. Wafer starts means the number of fresh wafers that are introduced into the fabrication sequence each
month. It includes test wafers, which means wafers that are exposed to all of the conditions of process characterization, including but not
limited to actual etch conditions or actual film deposition conditions.
36	Repeatable means that the variables used in the formulas for the facility's engineering model for gas apportioning factors are based on
observable and measurable quantities that govern gas consumption rather than engineering judgment about those quantities or gas
consumption.
November 2010
15

-------
Subpart I Technical Support Document
information would be updated each year for each facility to account for changes to tools or process at a facility between
reporting years.
Verification
To verify engineering models used to apportion gas consumption, EPA requires facilities to demonstrate that the results
from the model are repeatable and to compare the difference between modeled gas usage and actual gas usage. Facilities
would verify a facility-specific engineering model through the following:
1.	Analyzing at least a 30-day period of operation during which the capacity utilization of the facility equals or
exceeds 60 percent of its design capacity.37
2.	Comparing the actual gas consumed of input gas i to the modeled gas consumed of input gas i for one fluorinated
GHG used for the plasma etching process type and one fluorinated GHG used for the chamber cleaning process
type. The fluorinated GHGs selected for comparison would be the ones used in the largest quantities, on a mass
basis, for each of the identified process types.
3.	Ensuring that the comparison performed for the gas used in the largest quantity for the plasma etching process type
does not result in a difference between the actual and modeled gas consumption that exceeds five percent relative
to actual gas consumption, reported to one significant figure using standard rounding conventions.
3.3.1	Example of Facility-Specific Engineering Model Based on Wafer Pass
One example of a quantifiable metric on which a facility may base an engineering model to apportion gas consumption is
wafer pass. During semiconductor device manufacturing, counts of wafer passes for each manufacturing step, over the
course of a year, carry information about fab productivity and fluorinated GHG usage. The design and profitable operation
of a modern fab entails detailed considerations of the functional performance of the equipment and its cost-of-ownership.
Cost of ownership is governed in large part by its productivity—the number of wafers processed per hour, the time to
maintain and time to failure.
Fab managers use information about counts of wafer passes to reduce variable costs by identifying practices that increase
fab throughput and that reduce material costs. Wafer pass counts in modern fabs are typically available through the
manufacturing execution software (MES) that comes with process equipment. MES is capable of tracking the activities
associated with each piece of manufacturing equipment. In older fabs that may not employ MES, wafer pass counts are
available through process flow information for each product manufactured.
While wafer-pass-count information is available, it is not routinely gathered. Instead, it's gathered at the request of fab and
product line managers for purposes of managing work-in-process load, reducing fab cycle time, reducing product cycle
time, identifying and removing process bottlenecks, etc.
For estimating gas usage, wafer pass counts could be collected either electronically or physically. For those fabs with
MES, wafer pass counts could be collected electronically, either continuously or intermittently. In those fabs without MES,
wafer pass counts would be collected intermittently at periods chosen to be representative of manufacturing over the
reporting period.
The example following is a demonstration of the use of wafer pass in apportioning NF3 consumption at a hypothetical
facility for the following three process sub-types defined by the Refined Method: oxide etch, silicon etch, and remote
plasma clean. For simplicity and demonstration purposes, it is assumed that at the hypothetical facility NF3 is the gas used
in the largest quantity, on a mass basis, for the plasma etching process type and the chamber cleaning process type.
An illustrative case for a semiconductor facility that can be considered where wafer passes are the quantifiable metric of gas
usage activity used, in a facility-specific engineering model, is a facility that uses NF3 for chamber cleaning with remote
plasma systems and for etching polysilicon and oxide films. With knowledge of the NF3-specfic heel and the number of
NF3 containers used, the facility knows the amount of NF3 consumed. To estimate emissions the facility must now
apportion NF3 usage between the chamber cleaning, polysilicon etching, and oxide etching process sub-types. To do this it
might use the total number of wafer passes through each and every NF3-cleaning system together with the time and nominal
(not measured actual) gas flow rate for each and every NF3-cleaning system and the corresponding figures for polysilicon
37 If a facility operates below 60 percent of its design capacity during the reporting year, the period during which the facility experiences
its highest 30-day average utilization would be used for model verification.
November 2010
16

-------
Subpart I Technical Support Document
etch processes and oxide etch processes to arrive at the proportion of NF3 used for cleaning chambers and etching
polysilicon and oxide films. Once developed, these apportioning factors could be used to estimate NF3 gas usage for the
cleaning and etching process sub-types proposed in our method. This example is illustrated further in Table 3-1 below.
Table 3-1. Illustrative Calculation for NF3 Example at One Facility
Gas Type - Annual Usage,
kg-
Process Sub-type
Apportioning Factor
Process sub-type gas usage,
kg.
NF3-56,286 kg
RPS Chamber
Cleaning
82%
46,202
Polysilicon Etch
17%
9,561
Oxide Etch
1%
523
Note: Annual gas usage presented is the modeled usage not the nominal usage.
For the example presented in Table 3 -1, the annual nominal gas usage is 56,009 kg of NF3, with 520 kg of NF3 used for
oxide etch, 9,514 kg of NF3 use for polysilicon etch, and 45,974 kg of NF3 used for RPS chamber clean. Using this
information, as well as the modeled amount of gas consumed for each of the three process sub-types considered, an
example verification is presented in below in Table 3-2.
Table 3-2. Illustrative Verification for Hypothetical Facility-Specific Gas Apportioning Model
I'nm-oo *miI>- 1 \iii-
Naiiiiin.il 1 N.i»r
Modeled I \a»e
Ch amber Clean Process Tvpe
RPS
45,974
46,202

Comparison
0.5%
Etch Process Type
Oxide etch process
520
523
Silicon etch process
9,514
9,561
Total
10,034
10,084

Verification Comparison
0.5%
While the manufacturing process for other electronics manufacturers are less complex as compared to semiconductor
manufacturing as most gases are used for a single process type, facilities that manufacture LCDs, MEMS and PV may also
use engineering models based on quantifiable metrics of manufacturing activity for apportioning gas consumption by
process type. The approach of using a facility-specific model may also be applied to apportion consumption of N20.
3.4 Options for Estimating Nitrous Oxide (N20) Emissions
EPA evaluated two methods to estimate emissions of N20 during CVD or other N20-using manufacturing processes, such
as chamber cleaning, both of which would utilize Equation 11. The first option is the use of two facility-specific N20
utilization factors for each CVD and other N20-using manufacturing processes. These factors would be developed by
directly measuring N20 utilization at a facility using the 2006 ISMI Guidelines or the 2001 ISMI Guidelines, provided the
measurements were made prior to January 1, 2007.38 Gas consumption used in Equation 11 would be determined using the
estimation and apportioning methods discussed above in sections 3.2 and 3.3.
l-(N O) r,.„ *(i-UN2a;)*(l-aN2a; *dN2Oj)*0.001 (Eq.ll)
Where:
E(N20)j	=	Annual emissions of N20 for N20-using process j (metric tons).
CN2oj	=	Amount of N20 consumed for N20-using process j and apportioned to N20 processes (kg).
UN2oj	=	Process utilization factor for N20-using process j.
38 If a third party has measured facility-specific N20 utilization factors, the conditions under which the measurements were made must
be representative of the facility's N20-emitting processes.
November 2010
17

-------
Subpart I Technical Support Document
0.001
j
Fraction of N20 used in N20-using process j with abatement systems.
Fraction of N20 for N20-using process j destroyed by abatement devices connected process j.
Conversion factor for kg to metric tons.
Type of N20-using process.
Alternatively, default N20 utilization factors for CVD and other N20-using manufacturing processes would be applied in
Equation 11. The default N20 utilization factor for CVD used is 20 percent (emission factor of 0.8), which is the midpoint
of the utilization range of 0 percent to 40 percent. EPA determined the upper bound of this range through information
collected in an industry survey presented in a comment received in response to the April 2009 rule proposal. This industry
survey concluded that on average the utilization of N20 for all processes at a fab is ~40 percent. In the industry survey, the
measured utilization factors were largely from newer 300 mm manufacturing equipment. EPA did not consider the 40
percent as representative because N20 utilization of older manufacturing equipment, such as 150 mm and 250 mm tools is
not fully represented. In addition, the information provided did not fully identify the specific processes from which the
average N20 utilization factor was calculated. EPA understands that the majority of N20 is used in CVD processes;
therefore 40 percent was considered the upper bound of the range for the CVD default N20 utilization factor. To be
conservative and to avoid the potential for underestimation of emissions the lower bound of ~0 percent was considered.
For other manufacturing processes, such as chamber cleaning, the default N20 utilization factor applied would be 0 percent
(emission factor of 1.0), which is equivalent to assuming that all N20 used in manufacturing processes, other than CVD, is
emitted. EPA took this approach because of a lack of information about N20 utilization for other N20-using process.
The Tier 1 approach for HTF emissions is based on the utilization capacity of a semiconductor facility multiplied by a
default emission factor. Although, the Tier 1 approach has the advantages of simplicity, it relies on a default emissions
factor to estimate HTF emissions and has relatively high uncertainty compared to the Tier 2 approach (IPCC, 2006).
The IPCC Tier 2 approach, which is a mass-balance approach, uses company-specific data and accounts for differences
among electronics manufacturing facilities' HTFs (which vary in their global warming potentials), leak rates, and service
practices, and has an uncertainty on the order of ±20 percent at the 95 percent confidence interval (IPCC, 2006). Equation
12 below shows the company-specific mass-balance equation for estimating HTF emissions. Facilities are required to
provide the total nameplate capacity (HTF charge) of equipment that contains fluorinated heat transfer fluids "newly
installed" during the reporting period.
3.5 Options for Estimating Heat Transfer Fluids (HTFs) Emissions
3.5.1	IPCC Tier 1 Approach
3.5.2	IPCC Tier 2 Approach
EHi = densityI * (IiB +Pi-Ni+11 - IiE - Z). ) *0.001 (Eq. 12)
Where:
EHj
density!
Emissions of fluorinated GHG heat transfer fluid i (metric tons/year).
Density of fluorinated heat transfer fluid i (kg/1).
Inventory of fluorinated heat transfer fluid i in containers other than equipment at the beginning of
the reporting year (in stock or storage) (1).
Acquisitions of fluorinated heat transfer fluid i during the reporting year (1). Includes amounts
purchased from chemical suppliers, amounts purchased from equipment suppliers with or inside of
equipment, and amounts returned to the facility after off-site recycling.
Total nameplate capacity (full and proper charge) of equipment that uses fluorinated heat transfer
fluid i and that is newly installed during the reporting year (1).
Total nameplate capacity (full and proper charge) of equipment that uses fluorinated heat transfer
fluid i and that is removed from service during the current reporting year (1).
November 2010
18

-------
Subpart I Technical Support Document
I;e	= Inventory of fluorinated heat transfer fluid i (in containers other than equipment) at the end of the
reporting year (in stock or storage) (1).
D	= Disbursements of fluorinated heat transfer fluid i during the reporting year (1). Includes amounts
returned to chemical suppliers, sold with or inside of equipment, and sent off site for verifiable
recycling or destruction.
0.001	= Conversion factor from kg to metric tons.
i	= Heat transfer fluid.
3.6 Options for Reporting Controlled Emissions from Abatement Systems
While the 2006 IPCC Guidelines offer gas-specific default DREs, these values were optimized for specific processes and
tools, and are not expected to be representative for all tools and gas flow rates. The IPCC default DRE values are also not
applicable to abatement systems which cannot abate CF4 at DREs greater than 85 percent (IPCC, 2006). Because of the
aforementioned reasons and to ensure the accuracy of controlled emissions39 estimations, EPA evaluated the following two
options for the reporting of controlled emissions: the use of an EPA developed DRE default value and the direct, proper
measurement of DRE values using EPA 's Protocol for Measuring Destruction or Removal Efficiency of Fluorinated
Greenhouse Gas Abatement Equipment in Electronics Manufacturing (EPA 430-R-10-003) (EPA DRE Protocol) (EPA,
2010). As part of both of these options, EPA evaluated requiring certification of proper installation, maintenance, and
operation of abatement systems, as well as monitoring the uptime of abatement systems.
3.6.1	Proper Installation, Operation, and Maintenance
There are many abatement system manufacturers, all of whom manufacture many models of systems that are marketed as
fluorinated GHG-destruction capable (Beu, L. 2005). While some of these systems may be capable of destroying some
fluorinated GHGs, they may not be effective in abating CF4 (Beu, L., 2005), which in some processes can constitute 10
percent - 20 percent (by volume) of fluorinated GHG exhaust composition (EPA, 2008d). This variability may be partially
attributable to installation as well as operating and maintenance practices (Beu, L. 2005), although variations in how
destruction is measured may also contribute to this variability (Beu, L., 2005). Additionally it is well known across the
industry that abatement system performance varies greatly depending on a variety of abatement device and process
parameters such as temperature, flow and exhaust composition (Beu, L., 2005, EPA 2008c, 2008d)).
Therefore, ensuring that abatement systems are properly installed, operated, and maintained according to manufacturers'
specifications is important to reduce the likelihood of inaccurate estimations of DREs. It should be noted that this is also in
line with 2006 IPCC applicability requirements for reporting controlled emissions due to abatement system use
(IPCC,2006).
3.6.2	Monitoring Abatement System Uptime
Applying a DRE value that is not discounted for the time an abatement system at a facility is being operated within the
range of parameters as specified in the operations manual provided by the system manufacturer (or is on "operational
mode"), would result in an underestimation of total facility emissions. Uptime refers to the ratio of the total time during
which the abatement system is in an operational mode with fluorinated GHGs or N20 flowing through production process
tool(s) connected to that abatement system, to the total time during which fluorinated GHGs or N20 are flowing through
production process tool(s) connected to that abatement system. An exception to this is time during which exhaust flows are
passed through a redundant abatement system40 that is in the same abatement system class41 as the primary abatement
system. Such time may be included in the uptime of the primary system.
39	Controlled emissions are defined as the quantity of emissions that are released to the atmosphere after application of an emission
control device (e.g., abatement system).
40	A redundant abatement system is defined as a system that is specifically designed, installed and operated for the purpose of destroying
fluorinated GHGs and N20 gases. A redundant abatement system is used as a backup to the main fluorinated GHGs and N20 abatement
system during those times when the main system is not functioning or operating in accordance with design and operating specifications.
41	Class means a category of abatement systems grouped by manufacturer model number(s) and by the gas that the system abates,
including N20 and carbon tetrafluoride (CF4) direct emissions and by-product formation, and all other fluorinated GHG direct emissions
and by-product formation. Classes may also include any other abatement systems for which the reporting facility wishes to report
November 2010
19

-------
Subpart I Technical Support Document
Equation 13 below can be used to calculate the fraction of input gas destroyed or removed in abatement systems connected
to process tools where a recipe, process sub-type, or process type is used. This number is used to calculate the annual
emissions from input gas and by-product in the above Equations 6 and 7, respectively. Equation 14 below is used to
calculate the uptime.
yc *d *u
L-i vp vp p
d,.,= ' Vr		«1- I3>
2—iv
Lip
VP
p
dM = Fraction of input gas i destroyed or removed in abatement systems connected to process tools where
recipe, process sub-type, or process type j is used (decimal fraction).
Qjp = Amount of input gas i consumed for recipe, process sub-type, or process type j fed into abatement system
P (kg).
d1JP = Destruction or removal efficiency for input gas i in abatement system p connected to process tools where
recipe, process sub-type, or process type j is used (decimal fraction).
Uptime of abatement system (decimal fraction), (see Eq. 14)
i	= Input gas.
j	= Recipe, process sub-type, or process type,
p = Abatement system.
Uv = 	
V y
(Eq. 14)
Up = The uptime of abatement system p (decimal fraction).
tp = The total time in which abatement system p is in an operational mode when fluorinated GHGs or N20 are
flowing through production process tool(s) connected to abatement system p (hours).
Tp = Total time in which fluorinated GHGs or N20 are flowing through production process tool(s) connected
to abatement system p (hours).
p = Abatement system.
EPA considered two options for values for d1JP expressed in Equation 13 above, the use of an EPA developed DRE factor,
or properly measured DREs. Both of these options are discussed in the following sections.
3.6.3	EPA Default DRE Value
As discussed previously, the 2006 IPCC gas-specific default DRE values were optimized for specific processes and tools.
However DREs are expected to vary across abatement systems and gas flow rates. For this reason, EPA estimates that the
use of the IPCC default DRE value will result in controlled emissions estimates that are not representative of actual facility
operations. Manufacturer supplied DREs may also result in emissions estimates that are not representative of actual facility
operations because the DRE itself may have been incorrectly measured due to a failure to account for the effects of dilution
(e.g., CF4 can be off by as much as a factor of 20 to 50 and C2F6 can be off by a factor of up to 10 [Burton, 2007].) This
understanding is supported by industry assessments as presented in Beu, 2005. Therefore, EPA developed a default DRE to
be used to report controlled emissions provided that the abatement system for which the default value is representing is
properly installed, operated, and maintained and is specifically designed for fluorinated GHG and N20 abatement.
controlled emissions provided that class is identified.
November 2010	20

-------
Subpart I Technical Support Document
EPA developed the DRE default value using data from the EPA DRE measurement program, in which measurements have
been made at three separate facilities.42 All of the data collected through the measurement program properly took into
account the effects of dilution. Using the DRE data set from these measurement studies, the following data selection
criteria were applied to determine values to include in the development of the default DRE.
•	Data must be from abatement devices that were properly installed, operated, and maintained, as well as
designed to abate fluorinated GHGs and N20. 43 This is consistent with the requirements for all abatement
systems for which emissions are reported. In cases where this criterion was not met, the DRE values measured
were often indistinguishable from zero.
•	Data must be in the form of a discrete value. Under the EPA DRE measurement program, some DRE
measurements taken exceeded 99 percent. In these instance the measured data was expressed as a non-discrete
value, (i.e. > 99 percent (e.g. for CHF3, NF3, SF6)), which could not be applied to a method to determine a default
DRE value.
After evaluating the data based on these criteria, a set with a population of 11 measured DREs was used to develop the EPA
default DRE value. These 11 DREs in the set were for CF4, and were measured on two thermal abatement systems each
attached to two etch tools, which, in turn, had four chambers. This data set, which can be referenced in Table 3-3 contains
results from two measurement conditions: (a) DRE measurement when the process tool plasma is off, when just CF4 and
diluent gas from each chamber enters the abatement system, and (b) measurement of DRE during wafer processing, when
CF4 together with other waste effluents leave the tool during actual wafer processing enters the abatement system.
Measured DREs are shown for each etch tool. These are regarded as independent measurements of the DRE for abating
CF4 for each abatement system.
Table 3-3. Data Set Used to Develop EPA Default DRE Value
Experiment ID
Comment about experiment
Measured CF4 DRE
Source of data"
FK15 #1
No plasma
87.5
Table IV
FK15 #2
No plasma
63.1
Table IV
FK15 #3
No plasma
79.4
Table IV
FK15 #4
No plasma
77.4
Table IV
FE05#1
No plasma
84.5
Table IV
FE05#2
No plasma
82.2
Table IV
FE05#3
No plasma
91.7
Table IV
FE05#4
No plasma
96.0
Table IV
Additional data from wafer tests, all chambers
FK15
Trench etch, #1 & #3 only
83
Table VIII
FK15
Via etch, #1 & #3 only
85
Table VIII
FE05
FE etch #3 & #4 only
90
Table IX
Source: EPA, 2009.
The summary statistics of the data set is presented in Table 3-3 is presented in
Table 3-4. Also presented in
42	For more information about the three studies, please see the following reports: Developing a Reliable Fluorinated Greenhouse Gas (F-
GHG) Destruction or Removal Efficiency (DRE) Measurement Method for Electronics Manufacturing: A Cooperative Evaluation with
IBM (EPA 430-R-10-004); Developing a Reliable Fluorinated Greenhouse Gas (F-GHG) Destruction or Removal Efficiency (DRE)
Measurement Method for Electronics Manufacturing: A Cooperative Evaluation with NEC Electronics, Inc. (EPA 430-R-10-005); and
Developing a Reliable Fluorinated Greenhouse Gas (F-GHG) Destruction or Removal Efficiency (DRE) Measurement Method for
Electronics Manufacturing: A Cooperative Evaluation with Qimonda (EPA 430-R-08-017).
43	While the EPA DRE measurement program only looked at abatement systems performance for fluorinated GHGs, it was assumed, for
this use, that abatement systems that were designed to treat fluorinated GHGs were also designed to treat N2Q.
November 2010
21

-------
Subpart I Technical Support Document
Table 3-4 is the default DRE determined under the assumption that the measured DREs are distributed normally, and under
the condition that 95 percent of the population will be above the value of 59% (i.e. the lower one-sided tolerance interval).
For simplicity the value was rounded to one significant figure, equating to a default DRE of 60 percent.
Table 3-4. Summary Statistics and Default PRE (Lower One-Sided Tolerance Interval)

Mean of Measured Values
Stdev of Measured Values
Lower One-Sided
Tolerance Interval
No Plasma Measured DRE
82.73
10.054
-
All Measured DRE
83.62
8.70
59
3.6.4	Proper Measurement of Abatement System DRE
For purposes of this rule, proper measurement of DRE values are those measured in accordance EPA's DRE Protocol. The
EPA DRE Protocol provides a practical and reliable method for measuring DREs of POU abatement devices while also
providing flexibility to users. In addition, the use of the EPA DRE Protocol will ensure dilution is properly accounted for
when determining DREs. (Please refer to the EPA DRE Protocol for a more detailed discussion on the justification for the
development and application of the Protocol).
A representative random sampling approach can be used to reduce burden associated with directly and properly measuring
DREs of each abatement system at a facility. Therefore, EPA evaluated the use of a random sampling abatement system
testing program (RSASTP) that would span all classes of abatement systems for which controlled emissions are reported.
(Class means a category of abatement systems grouped by manufacturer model number(s) and by the gas that the system
abates, including N20 and carbon tetrafluoride (CF4) direct emissions and by-product formation, and all other fluorinated
GHG direct emissions and by-product formation. Classes may also include any other abatement systems for which the
reporting facility wishes to report controlled emissions provided that class is identified.44)
EPA qualitatively evaluated a range of options, from 10 percent to 100 percent, for what would constitute an appropriate
annual representative sample of abatement systems to be tested in a reporting year. EPA selected 20 percent as it would
balance the annual measurement burden for reporters and anticipate reliable maintenance periods and useful lifetimes of
abatement systems. The RSASTP, with the selected 20 percent sample size, is described below.
An annual representative sample would consist of three or 20 percent of installed abatement devices, whichever is greater,
for each model type each year. In the case that 20 percent of total abatement systems at a facility does not equal a whole
number, the number of devices to be tested should be rounded up.45 Each reporting period the DRE for a different three or
20 percent set of devices would be measured. For systems for which a DRE has not been measured in a reporting period, a
simple average of the measured DREs for systems of that specific class of abatement system is used. If redundant
abatement systems are tested during periods of maintenance or repair, then the most recently measured or class average
DRE for that system may be used.
Using the RSASTP, as outlined in the paragraph above, would ensure the eventual measurement of each device but over the
course of several years. The importance of measuring the DRE for each abatement device lies in the fact that the robustness
of installed abatement systems varies by gas and operating principle. Therefore, while some abatement devices may
receive regular maintenance and perform optimally, others may, because of the specific nature of the exhaust they treat, not
be functioning properly. As well, it is well known across the industry that abatement system performance varies greatly
depending on a variety of abatement device and process parameters such as temperature, flow and exhaust composition.
(Beu, 2005) Facilities often develop and ultimately use new processes potentially every year, and the parameters of these
processes vary, hence the use of the RSASTP will capture these changes over time.
44	CF4 is a very stable chemical and especially difficult to effectively abate. It may be used as an input gas and generated as a byproduct
of other fluorinated GHG process reactions.
45	For example, 16 abatement devices, 20 percent of which equals 3.2; therefore, four abatement devices would be measured each year,
which exceeds three.
November 2010
22

-------
Subpart I Technical Support Document
4	QA/QC Requirements
QA/QC methods for reporting fluorinated GHG and N20 emissions include:
•	Following the 2006 ISMI Guidelines for QA/QC procedures when measuring and calculating facility-specific,
recipe specific fluorinated GHGs and N20 utilization and by-product formation rates.
•	Where facility-specific, recipe-specific fluorinated GHG and N20 utilization and by-product formation rates were
measured prior to January 1, 2007, verify that the QA/QC procedures in International SEMATECH #01104197A-
XFR (2001 ISMI Guidelines) were followed when the factors were measured and calculated.
•	Following the QA/QC procedures in the EPA DRE Protocol when calculating abatement system DREs.
•	Demonstrating that as part of normal facility operations the inventory of gas stored in containers at the beginning
of a reporting year is the same as the inventory of gas stored in containers at the end of the previous reporting year.
QA/QC methods for reporting emissions from HTFs use and annual gas consumption for each fluorinated GHG and N20
used include:
•	Reviewing inputs to the gas consumption equation and HTF mass balance equation (Eqs. 8 and 12), to ensure
inputs and outputs are all accounted for.
•	Ensuring no negative inputs are entered in the gas consumption equation and HTF mass balance equation (Eqs. 8
and 12) and negative emissions are not calculated.
•	Ensuring that the beginning of year inventory is identical to the end of year inventory from previous year.
•	Ensuring that the total quantity of gas in containers in service at the end of the reporting year is accounted for as if
the in-service containers were full. Ensuring also that the same quantity is accounted for in the inventory of gas
stored in containers at the beginning of the subsequent reporting year.
General QA/QC methods include:
•	Ensuring all flowmeters, weigh scales, pressure gauges, and thermometers used to measure quantities that are used
to meet requirements of subpart I have an accuracy and precision of one percent of full scale or better.
5	Procedures for Estimating Missing Data
It is expected that collecting gas consumption data and quantifiable metrics for apportioning gas consumption will always
be feasible for facilities, with the exception of the time period facilities are permitted to use Best Available Monitoring
Methods (BAMM).46 When estimating HTF emissions, the use of the mass-balance approach requires correct records for
all inputs. Therefore facilities that use HTFs and have missing data for one or more of the inputs for the mass-balance
equation (see Eq. 12) can estimate HTF emissions using the arithmetic average of the emission rates for the reporting year
immediately preceding the period of missing data and the months immediately following the period of missing data.
Alternatively, missing information may be estimated from the heat transfer fluid supplier's records. All methods and values
used for all missing data values must be documented.
6	Reporting and Recordkeeping Procedures
The following data, which is additional to the information generally required to be reported and/or retained as records for
all facilities covered under the MRR (see §98.3(c) and §98.3(g) (74 FR 56379, October 2009), would be useful for
confirming emissions calculations and/or calculating emission factors that could be compared across facilities for quality
control purposes:
•	For each facility report:
46 See the Electronics Manufacturing section of the preamble to the Final Rule for a discussion on the use of Best Available Monitoring
Methods under subpart I.
November 2010
23

-------
Subpart I Technical Support Document
o Annual manufacturing capacity of a facility.
o For facilities that manufacture semiconductors, the diameter of wafers manufactured at the facility.
o Annual emissions of:
¦	Each fluorinated GHG emitted from each process type for which the facility is required to
calculate emissions.
¦	Each fluorinated GHG emitted from each individual recipe (including those in a set of similar
recipes), or process sub-type.
¦	N20 emitted from each chemical vapor deposition processes and from other N20-using
manufacturing processes.
¦	Each HTF emitted.
o The method used to calculate fluorinated GHG emissions.
o Annual production in terms of substrate surface area (e.g., silicon, PV-cell, glass).
o When factors for fluorinated GHG process utilization and by-product formation rates and/or N20
utilization factors other than the default values provided in the appendices are used, the
following:
¦	The recipe-specific utilization and by-product formation rates for each individual recipe
(or set of similar recipes) and/or facility-specific N20 utilization factors.
¦	For recipe-specific utilization and by-product formation rates, the film or substrate that
was etched/cleaned and the feature type that was etched, as applicable.
¦	Certification that the recipes included in a set of similar recipes are similar.
¦	Certification that the measurements for all reported recipe-specific utilization and by-
product formation rates and/or facility specific N20 utilization factors were made using
the 2006 ISMI Guidelines, or the 2001 ISMI Guidelines if measurements were made
prior to January 1, 2007.
¦	Source of the recipe-specific utilization and by-product formation rates and/or facility-
specific-N20 utilization factors.
¦	Certification that the conditions under which the measurements were made for facility-
specific N20 utilization factors are representative of the facility's N20-emitting
production processes.
o Annual gas consumption for each fluorinated GHG and N20, including where the facility used
less than 50 kg of a particular fluorinated GHG or N20 used at the facility. For all fluorinated
GHGs and N20 used at the facility for which emissions have not been calculated, the chemical
name of the fluorinated GHG used, the annual consumption of the gas, and a brief description of
its use.
o All inputs used to calculate gas consumption of each fluorinated GHG and N20 used.
o Disbursements of each fluorinated GHG and N20 during the reporting year.
o All inputs used to calculate disbursements for each fluorinated GHG and N20 used, including all facility-
wide gas-specific heel factors used for each fluorinated GHG and N20. If the facility used less than 50 kg
of a particular fluorinated GHG during the reporting year, facility-wide gas-specific heel factors do not
need to be reported for those gases.
o Annual amount of each fluorinated GHG consumed for each recipe, process sub-type, or process type, as
appropriate, and the annual amount of N20 consumed for each chemical vapor deposition and other
electronics manufacturing production process.
o All apportioning factors used to apportion fluorinated GHG and N20 consumption.
o For the facility-specific apportioning model used to apportion fluorinated GHG and N20 consumption the
following:
¦	Identification of the quantifiable metric used in the facility-specific engineering model to
apportion gas consumption.
¦	The start and end dates selected to monitor for verification of the model.
November 2010
24

-------
Subpart I Technical Support Document
¦	Certification that the gases selected for comparisons correspond to the largest quantities
consumed on a mass basis, at the facility in the reporting year for the plasma etching process
type and the chamber cleaning process type.
¦	The result of the calculations comparing the actual and modeled gas consumptions.
o Fraction each fluorinated GHG or N20 fed into a recipe, process sub-type, or process type that is fed into
tools connected to abatement systems.
o Fraction of each fluorinated GHG or N20 destroyed or removed in abatement systems connected to
process tools where a recipe, process sub-type, or process type is used, as well as all inputs and
calculations used to determine the inputs to calculate this fraction.
o Inventory and description of all abatement devices through which fluorinated GHGs or N20
flow at the facility, including the number of devices of each manufacturer, model numbers,
manufacturer claimed fluorinated GHG and N20 DREs, if any, and records of DRE
measurements over their in-use lives. The inventory of abatement systems must describe the
tools with model numbers and the recipe(s), process sub-type, or process type for which these
systems treat exhaust.
o For each abatement system through which fluorinated GHGs or N20 flow at the facility, for which
controlled emissions are reported, the following:
¦	Certification that the abatement device has been installed, maintained, and operated in
accordance with manufacturers' specifications.
¦	All inputs and results of calculations made accounting for the uptime of abatement systems used
during the reporting year.
¦	The default DRE value or properly measured DREs for each abatement system used in that
reporting year.
¦	Where the default DRE value is used to report controlled emissions, certification that the
abatement systems for which emissions are being reported were specifically designed for
fluorinated GHG and N20 abatement. Documentation stating that the system was designed for
fluorinated GHG and N20 abatement should be provided to show this.
¦	Where properly measured DREs or class averages of DREs are used, the following must be
reported:
•	A description of the class, including the abatement system manufacturer and model
number, and the fluorinated GHG and N20 in the process effluent stream.
•	The total number of systems in that class for the reporting year.
•	The total number of systems for which DREs were properly measured in that class for
the reporting year.
•	A description of the calculation used to determine the class average, including all inputs
of the calculation.
•	A description of method of randomly selecting class members for testing.
o For HTF emissions, inputs used in the mass-balance equation for each fluorinated GHG used.
o Where missing data procedures were used to estimate inputs into the HTF mass-balance
equation, the number of times missing data procedures were followed in the reporting year, the
method used to estimate the missing data, and the estimates of those data.
o A brief description of each "best available monitoring method" used, the parameter measured or
estimated using the method, and the time period during which the "best available monitoring
method" was used.
• Retain records of:
o All data used and copies of calculations made as a part of estimating gas consumption and
emissions.
November 2010
25

-------
Subpart I Technical Support Document
o Documentation for the values used for fluorinated GHG and N20 utilization and by-product
formation rates. Where facility-specific, recipe-specific gas utilization and by-product formation
rates were used, the following records must also be retained:
¦	Complete documentation and final report for measurements for recipe-specific
utilization and by-product formation rates demonstrating that the values were measured
using the 2006 ISMI Guidelines, provided the measurements were made prior to
January 1, 2007.
¦	Documentation that recipe-specific utilization and by-product formation rates
developed for the facility are measured for recipes that are similar to those used at the
facility. Included in the documentation, recorded to two significant figures, should be
reactor pressure, flow rates, chemical composition, applied RF power, direct current
(DC) bias, temperature, flow stabilization time, and duration.
¦	Documentation that the facility's N20 measurements are representative of the N20-
emitting processes at the facility.
¦	The date and results of the initial and any subsequent tests to determine utilization and
by-product formation rates.
o Documentation for the facility-specific engineering model used to apportion fluorinated GHG
and N20 consumption. This documentation must be part of the site GHG Monitoring Plan. At a
minimum, the following must be retained:
¦	A clear, detailed description of the facility-specific model, including how it was
developed; the quantifiable metric used in the model; all sources of information,
equations, and formulas, each with clear definitions of terms and variables; and a clear
record of any changes made to the model while it was used to apportion fluorinated
GHG and N20 consumption across individual recipes (including those that are similar
recipes), process sub-types, and/or process types.
¦	Sample calculations used for developing a recipe-specific, process sub-type-specific, or
process type-specific gas apportioning factor for the fluorinated GHG used at a facility
in the largest quantity, on a mass basis, during the reporting year for each individual
recipe (including those that are similar recipe), process sub-type, or process type.
o For each abatement device through which fluorinated GHGs or N20 flows at the facility, for
which the facility is reporting controlled emissions, the following:
¦	Documentation to certify that the abatement device is installed, maintained, and
operated in accordance with manufacturers' specifications.
¦	Abatement system calibration and maintenance records.
¦	Where the default DRE value is used, documentation from the abatement system
supplier describing the equipment's designed purpose and emission control capabilities
for fluorinated GHG and N20.
¦	Where properly measured DRE is used to report emissions, dated certification by the
technician who made the measurement that the DRE was calculated according to
methods in the EPA's DRE Protocol, complete documentation of the results of any
initial and subsequent tests, and final reports as specified in the EPA DRE Protocol.
o Purchase records for gas purchased.
o Invoices for gas purchases and sales.
o Documents and records used to monitor and calculate abatement system uptime.
November 2010
26

-------
Subpart I Technical Support Document
7 References
Beu, L. (2005). "Reduction of Perfluorocarbon (PFC) Emissions: 2005 State-of-the-Technology Report", TT#0510469A-
ENG, International SEMATECH Manufacturing Initiative (ISMI), December 2005. Available at:
http://www.epa.gov/highgwp/semiconductor-pfc/documents/final_tt_report.pdf.
Burton, C.S., & Beizaie, R. (2001). EPA 's PFC Emissions Model (PEVM) v. 2.14: Description and Documentation.
Prepared for Office of Global Programs, U. S. Environmental Protection Agency, Washington, DC. November 2001.
Available at: http://www.epa.gov/highgwp/semiconductor-pfc/documents/pevm_draft.pdf.
Burton (2006). PV Emissions during Photovoltaic (PV) Cell Fabrication: A Scoping Report. Available in EPA docket
EPA-HQ-OAR-2009-0927.
Burton (2007). Assessing the need for FC abatement standards, Solid State Technology, January 2007. Available at:
http://www.electroiq.com/index/display/semiconductors-article-display/281422/articles/solid-state-technology/volume-
50/issue-l/departments/industry-forum/assessing-the-need-for-fc-abatement-standards.html.
Chen et. A1 (2003). Chen, Xing, W. Holber, P. Loomis, E. Sevillano, S-Q Shao, S. Bailey and M. Goulding. Advances in
Remote Plasma Sources for Cleaning 300 mm and Flat Panel CVD Systems. Semiconductor Magazine, August 2003, 6 pp.
Available at: http://www.mksinst.eom/docs/r/PRGcvdcleanTP.pdf.
Display Search (2007). Flat Panel Fabs on a Disk. Q2 2007 Edition. Available for purchase at:
http://www.displaysearch.com/cps/rde/xchg/displaysearch/hs.xsl/index.asp.
Earth Policy Institute (2007). Solar Cell Production Jumps 50 Percent in 2007. Author: Jonathan G. Dorn. Available at:
http://www.earth-policy.org/index.php7/indicators/C47/.
EPA (2008a) Inventory of U.S. Greenhouse Gas Emissions and Sinks: 1990-2007. U.S. Environmental Protection Agency,
Washington, DC.
EPA (2008b). Uses and Emissions of Liquid PFC Heat Transfer Fluids from the Electronics Sector. Office of Air and
Radiation Office of Atmospheric Programs, Climate Change Division, U.S. Environmental Protection Agency,
Washington, DC. Available at: http://www.epa.gov/semiconductor-pfc/documents/pfc_heat_tranfer_fluid_emission.pdf.
EPA (2008c). Developing a Reliable Fluorinated Greenhouse Gas (F-GHG) Destruction or Removal Efficiency (DRE)
Measurement Method for Electronics Manufacturing: A Cooperative Evaluation with NEC Electronics, Inc. (EPA 430-R-
10-005). Office of Air and Radiation Office of Atmospheric Programs, Climate Change Division, U.S. Environmental
Protection Agency, Washington, DC. Available at: http://www.epa.gov/highgwp/semiconductor-
pfc/documents/nec_report.pdf47.
EPA (2008d). Developing a Reliable Fluorinated Greenhouse Gas (F-GHG) Destruction or Removal Efficiency (DRE)
Measurement Method for Electronics Manufacturing: A Cooperative Evaluation with Qimonda (EPA 430-R-08-017).
Office of Air and Radiation Office of Atmospheric Programs, Climate Change Division, U.S. Environmental Protection
Agency, Washington, DC. Available at: http://www.epa.gov/highgwp/semiconductor-
47
pfc/documents/qimonda_report.pdf.
EPA (2009). Developing a Reliable Fluorinated Greenhouse Gas (F-GHG) Destruction or Removal Efficiency (DRE)
Measurement Method for Electronics Manufacturing: A Cooperative Evaluation with IBM (EPA 430-R-10-004), Office of
Air and Radiation Office of Atmospheric Programs, Climate Change Division, U.S. Environmental Protection Agency,
47 The NEC and Qinonda studies were conceived and conducted over the course of 2006 through 2007, and are referenced as such in the
Electronics Manufacture preamble.
November 2010
27

-------
Subpart I Technical Support Document
Washington, DC. Available at: http://www.epa.gov/highgwp/semiconductor-pfc/documents/ibm_report.pdf.
EPA (2010). Protocol for Measuring Destruction or Removal Efficiency (DRE) of Fluorinated Greenhouse Gas Abatement
Equipment in Electronics Manufacturing (EPA 430-R-10-003), Office of Air and Radiation Office of Atmospheric
Programs, Climate Change Division, U.S. Environmental Protection Agency, Washington, DC. Available in EPA docket
EPA-HQ-OAR-2009-0927.
Gaitan, M. & Takacs, M. (2008). The state of standards. Solid State Technology, February 2008. Available at:
http://www.electroiq.com/index/display/semiconductors-article-display/319143/articles/solid-state-technology/volume-
51/issue-2/departments/mems/the-state-of-standards.html.
International Sematech (2006). Guideline for Characterization of Semiconductor Process Equipment. International
Sematech, Technology Transfer # 06124825A-ENG, December 22, 2006. Available in EPA docket EPA-HQ-OAR-2009-
0927.
International Sematech (2001). Guideline for Characterization of Semiconductor Process Equipment. International
Sematech, Technology Transfer #01104197A-XFR, 2001. Available in EPA docket EPA-HQ-OAR-2009-0927.
International Sematech (2004). Specification for Definition and Measurement of Equipment Reliability, Availability, and
Maintainability. SEMI Standard El 0-0304, March 2004. Available forpurchase at: http://www.semi.org/en/index.htm .
Contact the EPA Docket Center (EPA docket EPA-HQ-OAR-2009-0927) for more information.
IPCC (2006) 2006IPCC Guidelines for National Greenhouse Gas Inventories. The National Greenhouse Gas Inventories
Programme, The Intergovernmental Panel on Climate Change, H.S. Eggleston, L. Buendia, K. Miwa, T Ngara, and K.
Tanabe (eds.). Hayama, Kanagawa, Japan. Available at: http://www.ipcc-
nggip.iges.or.jp/public/2006gl/pdf/3_Volume3/V3_6_Ch6_Electronics_Industry.pdf.
ITRS (2008) International Technology Roadmap for Semiconductors: 2007 Update. January 2008. This and earlier
editions and updates are available at  Information about the number of interconnect layers for years
1990 - 2010 is contained in Burton and Beizaie, 2001. PEVM is updated using new editions and updates of the ITRS,
which are published annually.
Lee et al. (2007). Evaluation Method on Destruction and Removal Efficiency of Perfluorocompounds from Semiconductor
and Display Manufacture. Bull. Korean Chem. Soc. 28 (8), 1383-1388 (2007). Available at:
http://newjournal.kcsnet.or.kr/main/j_search/j_download.htm?code=B070824.
Li et al., (2001). Improved Technique for Evaluating Point-of-use Abatement Systems. Semiconductor Fabtech, 14th
Edition, June 2001. Available at: http://www.fabtech.org/.
Lyshevshi, S. (2001). MEMS and NEMS System, Devices, and Structures, CRC Press. Available for purchase at:
http://www.crcpress.com/ecommerce_product/product_detail.jsf?catno=1262&isbn=0000000000000.
Roedern, B.V. & Ullal, H.S. (2008). Critical issues for commercialization of thin-film PV technologies. Solid State
Technology, February 2008. Available at: http://www.electroiq.com/index/display/semiconductors-article-
display/319149/articles/solid-state-technology/volume-5 l/issue-2/features/thin-film-technology/critical-issues-for-
commercialization-of-thin-film-pv-technologies.html.
Semiconductor Equipment and Materials Industry (SEMI) (2008). World Fab Watch, January 2007 Edition. Available for
purchase at: http://www.semi.org/en/index.htm.
VLSI Research, Inc. (2008). Document 327028, V6.12.1—Worldwide Silicon Demand by Wafer Size, by Linewidth and
by Device Type. January 2007. Available forpurchase at: http://www.vlsiresearch.com.
November 2010
28

-------
Subpart I Technical Support Document
Appendix A - Default Emission Factors for Threshold Applicability Determination and Etch and
Clean Emission Estimation Methods
Table A-l. Default Emission Factors for Threshold Applicability Determination
Product Type
Emission Factors EFj
cf4
c2f6
chf3
c3f8
nf3
sf6
Semiconductors (kg/nr)
0.90
1.00
0.04
0.05
0.04
0.20
LCD (g/m2)
0.50
NA
NA
NA
0.90
4.00
MEMS (kg/m2)
NA
NA
NA
NA
NA
1.02
Notes: NA denotes not applicable based on currently available information.
Source: IPCC, 2006
November 2010
29

-------
Subpart I Technical Support Document
Table A-2. Default Emission Factors (1-Un) for Gas Utilization (Un) and By-Product Formation Rates (Biik) for MEMS, LCD, and PV Manufacturing

Process Gas
Greenhouse Gases with TAR GWP
Greenhouse Gases
without TAR GWP
Non-GHGs
Producing FC
By-products}
cf4
2F6
CHFj
ch2f2
3F8
c-C4F8
nf3
Remote
nf3
sf6
4F6
sFs
4FsO
f2
cof2
MEMS
MANUFACTURING3
Etch 1-Ui
07C
0.4*
0.4*
0.06*1
NA
0?*
NA
0.2
o.f
C
0.1
0.2
NA
NA
NA
CVD 1-Ui
09
0.6
NA
NA
04
0.1
0.02
0.2
NA
NA
0 1
0.1
NA
NA
Etch BCF4
NA
0.4*
0.07*
0.08*
NA
0.2
NA
NA
NA
0.3*
0.2
NA
NA
NA
Etch BC2Fg
NA
NA
NA
NA
NA
0.2
NA
NA
NA
0.2*
0.2
NA
NA
NA
CVD BCF4
NA
0 1
NA
NA
0 1
0.1
0.02f
O.lf
NA
NA
0 1
0.1
0.02f
0.02f
CVD BC2F6
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
CVD BC3Fs
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
0.04
NA
NA
LCD
MANUFACTURING
Etch 1-Ui
0.6
NA
0.2
NA
NA
0.1
NA
NA
0.3
NA
NA
NA
NA
NA
CVD 1-Ui
NA
NA
NA
NA
NA
NA
0.03
0.3
0.9
NA
NA
NA
NA
NA
Etch BCF4
NA
NA
0.07
NA
NA
0.009
NA
NA
NA
NA
NA
NA
NA
NA
Etch BCHF3
NA
NA
NA
NA
NA
0.02
NA
NA
NA
NA
NA
NA
NA
NA
Etch BC2F6
NA
NA
0.05
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
CVD BCF4
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
CVD BC2F6
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
CVD BC3Fs
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
PV
MANUFACTURING
Etch 1-Ui
0.7
0.4
0.4
NA
NA
0.2
NA
NA
0.4
NA
NA
NA
NA
NA
CVD 1-Ui
NA
0.6
NA
NA
0.1
0.1
NA
0.3
0.4
NA
NA
NA
NA
NA
Etch BCF4
NA
0.2
NA
NA
NA
0.1
NA
NA
NA
NA
NA
NA
NA
NA
Etch BC2F6
NA
NA
NA
NA
NA
0.1
NA
NA
NA
NA
NA
NA
NA
NA
CVD BCF4
NA
0.2
NA
NA
0.2
0.1
NA
NA
NA
NA
NA
NA
NA
NA
CVD BC2F6
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
CVD BC3Fs
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
Notes: NA denotes not applicable based on currently available information
{ The default emission factors for F2 and COF2 may be applied to cleaning low-k CVD reactors with C1F3.
* Estimate includes multi-gas etch processes
a MEMS manufacturing EFs are consistent with the 2006 IPCC Tier 2b EFs for the manufacture of semiconductors,
f Estimate reflects presence of low-k, carbide and multi-gas etch processes that may contain a C-containing FC additive


Source: IPCC, 2006
November 2010	30

-------
Subpart I Technical Support Document
Table A-3. Default Emission Factors (1-Ujj) for Gas Utilization (Ujj) and By-Product Formation Rates (Bijk) for Semiconductor Manufacturing for 150
mm and 200 mm Wafer Sizes
Process Type/Sub-type
Process Gas i
cf4
2f6
chf3
CH2F2
CO
c-C4F8
nf3
sf6
4F6
5f8
CO
0

1-TTi
0.69
0.56
0.38
0.093
NA
0.25
0.038
0.20
0.14
NA
NA
PPF 4
NA
0.23
0.026
0.021
NA
0.19
0.0040
NA
0.13
NA
NA
BC 2^6
NA
NA
NA
NA
NA
0.08
NA
NA
0.12
NA
NA
T3F8
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
C
h.imln 1 < |,.MIII1,
111 silu plasma cli-ailill!!
1 U '
0.92
0.55
NA
NA
0.40
0.10
0.18
NA
NA
NA
0.14
PPF 4
NA
0.19
NA
NA
0.20
0.11
0.011
NA
NA
NA
0.13
BC 2^6
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
0.030
Tin 3F8
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
Ki-mok- plasma ck-aniii<;
1 U '
NA
NA
NA
NA
NA
NA
0.018
NA
NA
NA
NA
BCF 4
NA
NA
NA
NA
NA
NA
0.0047
NA
NA
NA
NA
pf 2^6
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
13/^ 3^8
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
111 silu 1 Ik-rmill ck-anin
-------
Subpart I Technical Support Document
Process Type/Sub-type
Process Gas i
cf4
2f6
chf3
CH2F2
00
cn
c-C4F8
nf3
sf6
4F6
5f8
O
00
T
BC 2^6
NA
NA
NA
NA „
NA
NA
NA
NA
NA c
NA „
NA
-on 3F8
NA
NA
NA
NA
NA
NA
NA
C
NA
NA
NA
NA
Notes: NA denotes not applicable based on currently available information.
Table A-4. Default Emission Factors (1-Ujj) for Gas Utilization (Ujj) and By-Product Formation Rates (Bijk) for Semiconductor Manufacturing for 300
mm Wafer Size
Process Type/Sub-type
Process Gas i
cf4
2f6
chf3
ch2f2
CO
CO
c-C4F8
nf3
sf6
4F6
5f8
O
CO
Ph
1-TTi
0.80
0.80
0.48
0.14
NA
0.29
0.32
0.37
0.09
NA
NA
PPF 4
NA
NA
0.0018
0.0011
NA
0.079
NA
NA
0.27
NA
NA
pf 2^6
NA
NA
0.0011
NA
NA
0.12
NA
NA
0.29
NA
NA
T>n 3F8
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA

In silu plasma cleaning
1 U '
NA
NA
NA
NA
NA
NA
0.23
NA
NA
NA
NA
PPF 4
NA
NA
NA
NA
NA
NA
0.0046
NA
NA
NA
NA
pf 2^6
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
T>n 3F8
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
Ki-mok- Plasma Cleaning
1-TTi
NA
NA
NA
NA
0.063
NA
0.018
NA
NA
NA
NA
PPF 4
NA
NA
NA
NA
NA
NA
0.040
NA
NA
NA
NA
pf 2^6
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
T>n 3F8
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
111 Silu Thermal ( leaning
1-TTi
NA
NA
NA
NA
NA
NA
0.28
NA
NA
NA
NA
November 2010
32

-------
Subpart I Technical Support Document
Process Type/Sub-type
Process Gas i
cf4
2f6
chf3
ch2f2
OO
c-C4F8
nf3
sf6
4F6
5f8
O
CO
Ph
*3"
PPF 4
NA C
NA
NA
NA
NA
NA
0.010
NA C
NA C
NA C
NA
pf 2^6
NA
NA
NA
c
NA
NA
NA
NA
NA
NA
NA
NA
3F8
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
U Mm ( k .......
1-TTi
0.77
NA
NA
0.24
NA
NA
0.23
0.20
NA
NA
NA
PPF 4
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
pf 2^6
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
3F8
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
NA
Notes: NA denotes not applicable based on currently available information.
November 2010
33

-------
Subpart I Technical Support Document
Appendix B - Development of EPA Published Emission Factors for the Tier 2c and Tier 2d
Methods
To develop the default emission factors (EFs) for semiconductor manufacturing presented in Tables A-3 and A-4, EPA
used industry data provided in cooperation with the Semiconductor Equipment and Materials International (SEMI), which
redacted identifying company information prior to sharing the data with EPA.48 An example of the form in which EPA
received data is presented in Figure B-l. All of the data EPA received was compiled in an EF development database. The
complete database is presented in Table 5 of the report Draft Emission Factors for Refined Semiconductor Manufacturing
Process Categories (EPA-HQ-OAR-2009-0927-0073) ("NODA database").49 The EF data in the NODA database, which is
identified by process sub-types and wafer technology, includes single- and multi-gas process chemistries. EF information
is provided for single and multi-gas emission factors for utilization rates and by-product formation rates. The table denotes
the dominant gas, the mass fraction emitted and the by-product formation rates expressed as a mass fraction of the
dominant gas. The table also denotes the mass-emitted fractions of the other gases used when multiple gases are employed
in either chamber cleaning or film etching emission processes.
Some providers of data identified a broad process type (i.e., chamber cleaning, etching and wafer cleaning) as well as a
process sub-type. For example, information pertaining to the chamber cleaning process type was identified by a chamber
cleaning process sub-type (in-situ plasma, remote plasma, or in-situ thermal) as well. The NODA database identifies all
information by the process sub-types of the Refined Method (see section 3.1.6), as the data collected by EPA was originally
intended to be used to develop default emission factors for the Refined Method. Although the Refined Method was not
included in the final rule, the emission factor data could be used to developed the default factors for the Tier 2c and Tier 2d
methods because the information in the database is on a more granular level than is required for these two methods. EFs
were developed by wafer technology for the broad etch process type and the broad wafer cleaning process types using all
relevant information in the NODA database (e.g., all etch information, regardless of the identified sub-type was used to
develop the etch EFs). EFs were also developed for the three chamber cleaning process sub-types (in-situ plasma, remote
plasma, and in-situ thermal) for each wafer technology. These EFs are presented in Tables A-3 and A-4.
The EFs presented in Tables A-3 and A-4 are simple (unweighted) averages developed employing an approach similar to
that used for the 2006 IPCC Guidelines. Each EF represents an average of the appropriate values in the NODA database
with each entry given equal weight. The exact methods used to develop the EFs are further discussed in the report Draft
Emission Factors for Refined Semiconductor Manufacturing Process Categories (EPA-HQ-OAR-2009-0927-0073).
Measures Taken to Overcome Data Gaps
As evident by the "NA" entries in Tables A-3 and A-4 (NA denotes not applicable based on currently available
information) and the information presented in the NODA database, there are still gaps in the EF data that are available to
EPA. Using the rationale described below EPA has addressed these gaps.
48	See TECHNICAL SUPPORT DOCUMENT (UPDATED) FOR PROCESS EMISSIONS FROM ELECTRONICS MANUFACTURE
(e.g., SEMICONDUCTORS, LIQUID CRYSTAL DISPLAYS, PHOTOVOLTAICS, AND MICRO-ELECTRO-MECHANICAL
SYSTEMS): PROPOSED RULE FOR MANDATORY REPORTING OF GREENHOUSE GASES (March 22, 2010) and the Subpart I
Notice of Data Availability (75 FR 26904) for more information on the data collection process and initiation.
49	EPA initially intended to use the data provided in cooperation with SEMI to develop emission factors for the Refined Method.
Therefore the data in the NODA database was originally used to develop draft emission factors for the Refined Method; however, EPA
decided not to include the Refined Method in the final rule.
November 2010
34

-------
Subpart I Technical Support Document
150 mm
EPA received only one value for fluorinated GHG usage during device manufacture on 150 mm wafers. In the absence of
additional emission data for 150 mm wafers, EPA assumed that the EFs for 200 mm technologies apply for 150 mm as
well. EPA based this assumption on the belief that EFs for 150 mm processing technology are closer to 200 mm processing
technologies than to 300 mm processing technologies [see Beu (2005)]. Moreover, EPA understands that a portion of the
EFs for 200 mm processing in the NOD A database were developed on early 200 mm equipment prior to efforts by device
and equipment manufacturers to reduce fluorinated GHG usage, which occurred during the latter half of the 200 mm wafer
era. The average draft EFs in Table A-3 reflect emission measurements made in the earlier and later portions of the 200 mm
wafer era.50 To apply EFs for 300 mm wafer processing equipment to 150 mm might result in reported emissions being
understated when EFs for 300 mm are smaller than those for 200 mm [see Beu (2005)]. This concern is supported by
comparing the chamber cleaning EFs for 200 mm and 300 mm technologies. Reports in the 200 mm wafer era indicated
that chamber cleaning accounted for the majority of fluorinated GHG usage.
Wafer Cleaning
300 mm Wafers
EPA received minimal information about emissions resulting from wafer cleaning in the semiconductor industry for 300
mm wafers. In the available patent literature for plasma based bevel cleaning, a process was identified under the wafer
cleaning process type that used two gases, CF4 and SF6. [e.g., see Kim et al. (2009)] EPA's EF database, the NODA
database, does not contain gas utilization information for SF6 for wafer cleaning, or any chamber cleaning process that may
be analogous for wafer cleaning. EPA filled this gap in SF6 data by using a draft value based on the SF6 utilization for in
situ chamber cleaning provided in the IPCC Good Practice Guidance and Uncertainty Management in National Greenhouse
Gas Inventories (Chapter 3, Table 3.15). (see IPCC, 2000)
150/200 mm Wafers
No information for the wafer cleaning process type was available for 150 mm or 200 mm in the NODA database. As EPA
understands, wafer cleaning processes were not used in the 150 mm and early 200 mm eras, but these processes began to
phase into the industry in the late 200 mm era and the 300 mm era (F. Burkeen, et al, 2007). Therefore, to make it feasible
for a facility processing 200 mm wafers to estimate emissions from any wafer cleaning activities EPA drew an analogy
between the 300 mm and 150/200 mm wafer cleaning EFs.
SFg Emission Factor for 150/200 mm Etch
The NODA database does not contain any information for SF6 for etching for the 150 mm or 200 mm wafer technologies.
However, based on the information presented in the 2006 IPCC Guidelines, it is known that SF6 is used for etching
processes for these technologies. To fill this gap in information, for the 150/200mm SF6 etch EF, EPA used the etch SF6
EF presented in the 2006 IPCC Guidelines.
Modifications to the NF^ Emission Factor
EPA learned, through discussions with a major supplier of equipment, that its experience suggests that the utilization
efficiency, U, of NF3 in contemporary units for cleaning chambers that process 200 mm and 300 mm wafers are similar,
despite the latter having higher NF3 flow rates. RPS units, both 200 mm and 300 mm, carry the same guaranteed utilization
efficiency of 95 percent; RPS units emit 5 percent or less of the NF3 used during chamber cleaning. Achieving similar
utilization efficiencies in the two systems is accomplished by increasing the plasma power to the RPS units with higher
flow rates. For 200 and 300 mm systems, the manufacturer's measurement experience indicates that the NF3 utilization is of
the order of 99 percent when operated under manufacturer's specifications. The manufacturer also posited that utilization
efficiencies should exceed 95 percent for typical, reasonably maintained RPS units.
50 In using the term "200 mm era" EPA is referring to the period during which the majority of R&D expenditures by device and
equipment manufacturers were directed to 200 mm wafers. Toward the end a wafer technology era, R&D attention shifted to the next
generation of wafer technology, 300 mm wafers.
November 2010
35

-------
Subpart I Technical Support Document
EPA's review of the NODA database showed that the mean complement of utilization efficiencies, <(1- U)) or mean EFs,
associated with 200 mm and 300 mm wafer processing for NF3 RPS were 0.0285 +0.0390 and 0.0174 +0.0285,
respectively. Statistically, it is evident that the population means are equal, and that all the NF3 emission factor data in
EPA's NODA database may be considered as one population. EPA's analysis is consistent with the manufacturer's
expectations although the mean utilizations for both wafer sizes fall below the tool manufacture's measurement experience
of 99 percent (EF = 0.01) but is also well above the performance expectation of 95 percent utilization (EF = 0.05).
Review of NF3 RPS emission factors contained in EPA's NODA database shows values that approach 0.20—80 percent
utilization efficiencies, substantially below the expected performance utilization efficiency of 95 percent. Providers of NF3
utilization data to EPA did not describe the robustness or representativeness of the submitted data. EPA viewed in as
reasonable to consider the possibility that the higher EFs might be outliers or anomalously high, that they might reflect one
or more of four circumstances: (1) poorly measured EFs, (2) poorly maintained systems, (3) older RPS technologies, (4)
operation outside manufacturer's specifications. While the manufacturer agreed such high values could be outliers—
especially for values above 0.1 or utilization efficiencies below 90 percent—EPA was uncomfortable with removing such
values without further consideration.
EPA combined the 200 mm and 300 mm data points for NF3 RPS and posed the question whether the resulting distribution
was better described with or without the larger values. For this analysis EPA assumed the variability in the set of emission
factors could be described by a beta distribution, a distribution that (1) accounts for the skewness of the observations and
(2) constrains values between zero and one, 0 < (1 - U,) < s, where s < 1.
EPA's analysis showed that a reasonable fit (p-value = 0.0037, chi-squared test) was obtained with s = 0.09 and removal of
the top fourEF values (0.1933, 0.1900, 0.1720 and 0.1120), which correspond to utilization efficiencies between 89 and 81
percent, considerably below the manufacturer's expected performance of 95 percent. The mean and standard deviation in
emission factor of the reduced dataset was 0.0182 + 0.0191 (1 standard deviation). A somewhat higher mean emission
factor (5 percent) and standard deviation of 0.0191+ 0.0211 (1 standard deviation) and somewhat poorer fit (p-value =
0.0096, chi-squared) was obtained with s < 0.115 and removal of the top three values.
Consideration of the information gleaned through industry together with EPA's statistical analysis led EPA to choose the
slightly lower mean value for the default EF (=0.0182 + 0.0191 (1 standard deviation)) for RPS chamber cleaning with NF3
for both 200 and 300 mm wafer processing systems. After removal of the four highest values, the mean EFs for 200 mm
and 300 mm RPS units remained statistically indistinguishable: 0.0204 + 0.0202 and 0.0141 + 0.0144, respectively.
References
Beu (2005), "Reduction of Perfluorocompound (PFC) Emissions: 2005 State-of-the-Technology Report" (Technology
Transfer #05104693A-Eng), December 2005. Available at: http://www.epa.gov/highgwp/semiconductor-
pfc/documents/final_tt_report.pdf.
F. Burkeen, et al (2007), "Visualizing the Wafer's Edge," KLA-Tencor YMS Magazine, Winter 2007. Available in EPA
docket (EPA-HQ-OAR-2009-0927) and at:
http://208.194.225.108/company/magazine/spring07/YMS_Sp07_Visualizing.pdf.
IPCC (2000), Intergovernmental Panel on Climate Change (IPCC 2000), "IPCC Good Practice Guidance and Uncertainty
Management in National Greenhouse Gas Inventories" (Chapter 3, Table 3.15), 2000. Available at: http://www.ipcc-
nggip.iges.or.jp/public/gp/english/.
IPCC (2006) 2006 IPCC Guidelines for National Greenhouse Gas Inventories. The National Greenhouse Gas Inventories
Programme, The Intergovernmental Panel on Climate Change, H.S. Eggleston, L. Buendia, K. Miwa, T Ngara, and K.
Tanabe (eds.). Hayama, Kanagawa, Japan. Available at: http://www.ipcc-
nggip.iges.or.jp/public/2006gl/pdf/3_Volume3/V3_6_Ch6_Electronics_Industry.pdf.
Kim et al (2009). Patent 20070068900A1, March 29, 2007 and Kim et al. Patent 20090272402A1, November 5, 2009.
Available at: http://www.google.com/patents/about?id=LYCbAAAAEBAJ&dq=20070068900Al and
http://www.google.com/patents/about?id=YJjJAAAAEBAJ&dq=20090272402Al.
November 2010
36

-------
Subpart I Technical Support Document
Figure B-l. Data Form Submitted by Semiconductor Device Manufacturers and Equipment Manufacturers to SEMI
Information for Developing EPA's Refined FLUORINATED GHG Emission Process Categories and Corresponding Emission Factors
(one form per process of record)
Source/Contact (TBR)1
(For SEMI use, information redacted)
Date of measurement

Location of study (TBR)
(For SEMI use, information redacted)
Emission process category and
subcategory (s) of process
category2
Emission Process Category
Subcategory of Process Category
Alternative Patterning/Etching Subcategory
Equipment (Manufacturer
name/model number) (TBR)3
Letter denotes each reporting
entity.
(Information redacted, it is sought to facilitate sufficient consideration of equipment manufacturer when estimating U.S. share
equipment usage in device manufacture.)
Patterning/etching
subcategories (Check
preference and explain each).
Specify both film type and
etched feature regardless of
preference.
Film Etc hi 1 Feature Etchl 1
Explanation:
Specify/describe film type:
Specify/describe etched feature:
Substrate size (Si wafer)

Measurement method/protocol4
(Either ISMI Guidelines or equivalent alternative measurement protocol with brief explanation regarding why alternative is considered
equivalent to ISMI Guidelines.)
Achieved ISMI Fluorine target
mass balance >90%? Check
answer.5
YES ~ NOD (Explain)

Corresponding Emission Factors for Emission Process Categories


Process Gases (i)
cf4
c2f6
c3f8
c-C4F8

chf3
sf6
nf3
c4f6
c5f8
c4f8o
ch2f2
f2
cof2
n2o

Measured emissions: 1-Uj6














Standard deviation:














November 2010
37

-------
Subpart I Technical Support Document
Meas. CF4 byproduct, Bin7













N/A
CF4 B standard deviation:













N/A
Meas. C2F6 byproduct, BiD7













N/A
C2F6B standard deviation:













N/A
Meas. C3F8 byproduct, BiD7













N/A
C3F8B standard deviation:













N/A
Unit of measurement (mass):

No. of wafers in study
(number of wafers measured)
Comments

Information for dcM'loping axorajic emission factors
Estimate of U. S. share of
selected process usage category,
% (Check answer)
!()%~ 2o%n 3o%n 4o%n so%n 6o%n 70%~ so% ~ 9o%n
Notes:
1.	TBR denotes To Be Redacted by SEMI.
2.	Distinguish between four emission process categories: 1. Chamber Cleaning; 2. Wafer Cleaning; 3. Patterning/etching; and 4. Deposition. Within Chamber Cleaning category
distinguish between the subcategories remote plasma cleaning and in situ cleaning. Within Wafer Cleaning category distinguish between the subcategories ashing and bevel cleaning.
Within Deposition category (N20 only) distinguish between the subcategories thermal and PECVD. There are two candidate Patterning/etching categories under consideration: Film
Etch and Feature Etch. The process subcategories under the Film Etch alternative are: silicon, oxide, nitride and metal. The process subcategories under the Feature Etch alternative
are: Contact, SAC, Gate, Capacitor, Deep Trench, Isolation Trench, Regular Via, Through-silicon via and Metal etch. Select one subcategory from each of the Film Etch and Feature
Etch categories and enter onto Data Form in the Subcategory and Alternative Subcategory spaces. Distinguishing between Film Etch and Feature Etch on the Data Form is not necessary.
3.	SEMI will assign a separate letter for each entity that provides emission factor data.
4.	Provide a brief explanation that supports using an alternative to ISMI Guidelines for characterizing process emissions.
5.	Provide a brief explanation that supports the reliability of the reported emission factors despite not achieving the F mass balance target of >90% mass closure.
6.	Uj denotes gas utilization, i.e., fraction of gas destroyed or transformed during the process step. Many etch recipes use multiple fluorinated GHG. In those instances, include all of
those gases and the measured utilization of each. In this instance also note the convention adopted for reporting the by-product formation factor, Bip explained in Note 7 below.
7. Bi denotes fraction of gas i transformed into other fluorinated GHGs such as CF4 formed from C2F6 or CHF3, C2F,; and C3F8 formed from other fluorinated GHGs. In cases when a
product such as CF4 is formed in recipes containing multiple fluorinated GHGs (e.g., CHF3, c-C4F8 and C4F6) Bj, by convention, is the ratio of measured mass of CF4 formed to mass
of largest quantity of fluorinated GHG comprising the recipe.
November 2010
38

-------
Subpart I Technical Support Document
Appendix C - Evaluation of Uncertainty Associated with Alternative Emission Estimation
Methods
EPA examined estimates of semiconductor facility fluorinated GHG emissions obtained using alternative emissions
estimation methods and one distribution of annual fluorinated GHG gas-usages for a hypothetical facility processing 300-
mm substrates that employing nine fluorinated GHGs. The five methods compared in this analysis were the:
(1)	IPCC Tier 2b,
(2)	Tier 2bM - emission factors modified/updated using the NODA Database,
(3)	EPA's Tier 2c -five fluorinated GHG-using process types/sub-types consisting of three chamber
cleaning sub-types, one wafer cleaning type and one aggregated etching type,
(4)	EPA's Refined Method -the same cleaning sub-types as in Tier 2c but the single aggregated etching type
is expanded to four film-based sub-types, and
(5)	a proxy for EPA's Tier 2d -the same chamber and wafer cleaning types/sub-types as in Tier 2c but
assuming as many groups of similar etching recipes as etching sub-types as there are for the Refined
Method.
For each alternative methodology, EPA compared the similarity between simulated emissions and the magnitudes of the
spread in the 95 percent Confidence Interval (CI) of the relative error (RE) for the simulated emissions. This spread is the
range in the probable error in emissions (PEE) and is calculated as the sum of the absolute value of the estimated lower
bound of the RE and the corresponding upper bound. Higher PEE estimates mean higher uncertainties. In the absence of
measured emissions, similar nominal emissions and PEE estimates are the only available measures of "goodness."
Methodologies and Sources of Information
Plant Distribution of Fluorinated GHG Usage
In this analysis one gas usage distribution comprised of nine fluorinated GHGs was used. This assumed plant distribution
was based on one sample gas use distribution from a fabrication plant of indeterminate industry representativeness. The
nine fluorinated GHGs in this analysis in decreasing usage rank order were: NF3, CF4, SF6, C2F6, C4F8, CH2F2, C5F8, CHF3
and C4F6. NF3 comprised 63 percent of total [mass basis] used for chamber and wafer cleaning, with 99.99 percent of total
NF3 used for chamber cleaning. All of the NF3 used for chamber cleaning was assumed to be used in remote plasma
cleaning. CF4 was used for etching and wafer cleaning [99 percent for etching]. The rest of the gases were used to etch
oxide, nitride, silicon, and metal films. (Emissions of HTFs or N20 were ignored in this analysis.)
Emission Factors and Relative Errors
The IPCC Tier 2b emission factors (both utilization and by-product formation rates) that were used were taken directly
from the 2006 IPCC Guidelines. The IPCC published 95 percent CI values for the emission factors were multiplied by two
and converted to percent values to obtain relative errors for the IPCC Tier 2b emission factors. Emission factors (EFs) (both
utilization and by-product formation rates) and REs for all other methods were developed using data in the NODA
database. However, data was sparse for etching sub-types specifically, and the implementation of an analysis required EFs
and their REs to be estimated by analogy to other gases in some instances. In cases where gas usage data was available, but
a related EF was not available either in the IPCC or NODA database, EFs, and hence REs, were assigned by analogy based
on the identical gas used in another process sub-type for which information was available. These analogies are presented in
Table C-l below. For the Tier 2d (proxy) method, because it was not feasible to estimate emissions for "similar recipes"
specifically used at the hypothetical fab due to limited available data, EPA elected to estimate emissions for the Tier 2d
method by modifying the REs for the four etching film-based sub-types used in the Refined Method analysis. The relative
error for each the four etching sub-types for the Tier 2d method was assumed to be 20 percent.
November 2010
39

-------
Subpart I Technical Support Document
Table C-l. Analogies Made for EFs and REs for Various Fluorinated GHGs for the Alternative
	i		Methodologies. 		
Methodology
Gas
Process Sub-Type
Analogous EF
Analogous RE
Other Notes
EFs for Gas Utilization

cf4
Metal Etch
Average of Silicon Etch, Nitride
Etch, and Oxide etch
Oxide Etch


cf4
Nitride Etch
	
Oxide Etch


c-C4F8
Nitride Etch
Oxide Etch
Oxide Etch


sf6
Silicon Etch
--
Average of all available
REs for EFs in database


sf6
Oxide Etch/ Nitride Etch
Silicon Etch
Average of all available
REs for EFs in database

Refined Method
C4F6
Nitride Etch
Oxide Etch
Oxide Etch


ch2f2
Silicon Etch
average of Oxide Etch and Nitride
Etch
Oxide Etch


ch2f2
Nitride Etch
	
Oxide Etch


chf3
Metal Etch/ Silicon Etch
--
Average of Oxide Etch
and Nitride Etch


nf3
Ashing
..
In-situ Plasma


C5F8
Oxide Etch
c-C4F8 Oxide Etch
c-C4F8 Oxide Etch
See note 2.b

sf6
Etch
Silicon Etch
Average of all available
REs for EFs in database

Tier 2bM
p
CO
Etch
c-C4F8 Etch
c-C4F8 Etch
See note 2.b/ The numbers for C4F8
Oxide Etch was assumed for the larger
etch category

sf6
Etch
Silicon Etch
100%

Tier 2c
C5F8
Etch
0
0
See note 5

nf3
Ashing
..
100%

CF4 By-Product

c4f6
Nitride Etch
Oxide Etch
Oxide Etch


ch2f2
Silicon Etch
average of oxide and nitride etch
average of oxide and
nitride etch

Refined Method
C5F8
Oxide Etch
C4F8 Oxide etch
C4F8 Oxide etch


chf3
Silicon Etch/ Metal Etch
average of oxide and nitride etch
average of oxide and
nitride etch


nf3
Ashing
In-situ plasma
In-situ plasma

Tier 2bM
p
CO
Etch
C4F8 etch
C4F8etch


C5F8
Etch
0
0
See note 5
Tier 2c
nf3
In-situ Thermal
	
200%


nf3
Ashing
0
0
See note 5
C2F6 By-Product
November 2010
40

-------
Subpart I Technical Support Document
Refined Method
c4f8
Nitride Etch
Oxide etch
Oxide etch
See note 2.b
c5f8
Oxide Etch
C4F8 Oxide etch
C4F8 Oxide etch

C4F6
Nitride Etch
Oxide Etch
Oxide Etch

chf3
Metal Etch/ Nitride Etch/
Silicon Etch
Oxide Etch
Oxide Etch

Tier 2bM
p
CO
Etch
C4F8etch
C4F8 etch

Tier 2c
c5F8
Etch
0
0
See note 5
Notes:
1)	— = Analogy not required
2)	Analogies for process categories for each gas were made according to the following overarching steps:
a.	If, for a gas, an EF/RE did not exist for a sub-type under a specific process type, but existed for another process sub-type under
the same process type, the EF/RE that existed for that one specific sub-type was assumed to be analogous for the sub-type with no
existing EF/RE. (i.e., if an EF/RE for CF4 existed for nitride etch but not oxide etch, the EF/RE for CF4 for oxide etch was
assumed to be analogous to the CF4 EF/RE for nitride etch.) If an EF or an RE for that gas category was missing, a proxy gas was
used as an analogy for these estimates. Of note, Table 5 does not have any information for gas CsFg. Hence C4F8 was assumed as
a proxy for all associated values.
b.	In the case of SF$, no such gas analogies could be made, therefore, an average of all REs for the gas distribution was used.
3)	Analogies for the overarching process categories of Tier 2c and Tier 2d methods were based on the analogies made for each individual
gas and process category for the refined method.
4)	Additionally, where no EF information was available but gas utilization took place, the IPCC Tier 2b EF and RE were used in those
rare instances.
5)	For the Tier 2c method only REs were assumed based on analogies, in situations where no emission actor existed for a given gas
within the database, the related EF for calculations was assumed to be 0.
November 2010
41

-------
Subpart I Technical Support Document
Type of Emissions Probability Distribution
A beta distribution was assumed for emissions of the gases and their by-products for practical and theoretical reasons. The
beta probability distribution constrains the random variable (EFs in this work) in each trial to values between 0 and 1.
Alternatives to the beta distribution—normal, lognormal or gamma—would permit trial EFs that are physically impossible,
i.e., EFs that could be negative or greater than one (depending on which of those three distributions were used in a
simulation), which would require truncating negative values or values greater than one. The number of EFs in the NODA
database for any gas and process type/sub-type was insufficient to test the appropriateness of the beta distribution.
Parameters for the beta distribution were estimated using information from the 2006 IPCC Guidelines and using the mean
and REs estimated from the May 2010 NODA information, as appropriate.
Location and shape parameters of the beta distributions were estimated for each emissions estimation method using the
corresponding means and variances from either IPCC tables (converting the IPCC reported 95 percent CI value to a
percentage and multiplying by two to obtain REs for the fluorinated GHGs) or the NODA database. EFs for all gases
utilized were constrained between 0 and 1, but the by-product emissions were constrained between 0 and the highest value
for that specific gas and process type available in the NODA database.
Uncertainty Analysis using @RISK
Monte Carlo simulations were performed for each of the five methods using @Risk software and beta distributions to
characterize the variability in EFs. Through @Risk, 10,000 iterations were completed for each simulation. The results of
these trials provided estimates of the mean of simulated emissions, and the corresponding upper and lower bound
confidence intervals (95 percent) in emissions, and, therefore, PEE estimates, for each of the five methods.
Results
Estimating "Goodness" of Calculated Emissions:
This analysis adopted two measures of goodness: (1) the inter-comparability of nominal emissions for the IPCC Tier 2b,
Tier 2bM, Tier 2c, Refined, and Tier 2d methods (i.e., the similarity of emissions calculated using, for the single
distribution of fluorinated GHG usage, the total emissions [MMTCE]), and (2) variability in the corresponding nominal
emissions for each method as measured by the 95 percent CI. The PEE is used for comparing the variability in reported
emissions among methods, because the PEE—a single number— is more convenient than using two numbers, the lower
and upper bound of the 95 percent CI of the RE.
Results in detail
The outcome of an uncertainty analysis such as this one may presume that the simulation for each method employed (a)
robust estimates for emissions factors and reasonable estimates for the variability in those factors for each gas used and
each fluorinated GHG-using process type/sub-type, and (b) a distribution representative of fluorinated GHG usage in
semiconductor manufacturing. However, those presumptions don't hold in this analysis as it relies on a paucity of available
EF data and only one fluorinated GHG usage distribution whose representativeness is unknown. Therefore, how much
weight should be given to the observations below is affected by the concerns about the scarcity of available EF and gas
usage information.
Nominal and simulated mean emissions for the IPCC Tier 2b, Tier 2bM, Tier 2c, Refined, and Tier 2d methods are shown
in Table C-2 below. This table also illustrates the PEE for each methodology. All the PEE values have been normalized to
Tier 2b PEE for ease of comparison.
Table C-2. Comparison of nominal and simulated mean emissions (MMTCE) and normalized estimates of PEE for
five alternative emission estimation methods

Simulated results after 10,000 iterations with (S>Risk
Method
Nominal emissions
(MMTCE)
Mean Emissions
(MMTCE)
Normalized spread in 95%
CI of RE or PEE
Tier 2b
0.011
0.011
1
Tier 2bM
0.014
0.014
1.72
November 2010
42

-------
Subpart I Technical Support Document
Tier 2c
0.014
0.014
1.68
Refined Method
0.014
0.014
1.88
Proxy Tier 2d
0.014
0.014
1.39
As shown in Table C-2,the nominal and simulated emissions are equivalent at up to two significant figures for all the
alternative methods evaluated. This supports EPA's use of a beta distribution to quantify variability in EFs for this
uncertainty analysis.
Inter-comparabilitv of Emission Estimates:
The results (to two significant figures) provided in Table C-2 show that total nominal emissions obtained using the IPCC
Tier 2b method are understated. All of the emission estimates from the other four methods are the same (up to two
significant figures); with emissions being 27 percent higher than the corresponding emissions estimated using IPCC Tier 2b
method (0.014 MMTCE for each of the four updated alternatives vs. 0.011 MMTCE for Tier 2b method). Conversely, Tier
2b emissions are understated by approximately 21 percent relative to the methods based on updated EFs.
Variability of Nominal Emissions/PEE:
The methods vary in their respective probability distribution spreads around the mean as shown by the normalized PEEs
presented in Table C-2. Results show that the PEEs for the Tier 2bM, Tier 2c, Refined and proxy Tier 2d methods are
greater relative to the PEE for the IPCC Tier 2b method. Of the non-IPCC methods evaluated, the PEE for the proxy Tier
2d method is the lowest, but as noted prior it is higher than the PEE for the IPCC Tier 2b method (see Table C-2). Based on
this analysis, the IPCC Tier 2b method appears to produce an understated, but the most precise, estimate with the proxy
Tier 2d method being a close second in precision.
It is noteworthy that the higher emissions and variability, calculated for the updated methods compared to the IPCC Tier 2b
method for the distribution of gas usage used, appears to be attributable to higher CF4 by-product formation EFs and REs
for the updated methods compared to the IPCC Tier 2b method. For instance, the CF4 by-product formation EF for NF3
remote plasma clean for the Tier 2bM is twice as large as the corresponding EF for the IPCC Tier 2b. Similarly, the CF4
by-product formation RE for the Tier 2bM exceeds the corresponding RE for the IPCC Tier 2b by a factor of 7.2 for NF3
RPS. It seems that the updated EF information contained in the NODA reflects the increased recent manufacturing trend of
using carbon-based low-k dielectrics. This increased use of C-films would be expected to lead to higher EFs in both etching
and chamber cleaning due to reaction of carbon with F-atoms to form CF4. Further, the greater REs in EFs developed from
the NODA database may be attributable to increase variability in both etching recipes and film C-content that is reflected in
the NODA database. Without more information regarding the EF data and gas usages this explanation cannot be explored.
Findings and Conclusions
The results of comparing the PEEs for all methods are both unexpected and expected. On the one hand, these results are
unexpected because one anticipates, in principle, that, as the information used to develop EFs improves and as a method's
comminution increases, simulated estimates of PEE would decrease. Therefore, in moving from the IPCC Tier 2b to the
Proxy Tier 2d method, the normalized estimates of PEEs should decrease, corresponding to a reduction in uncertainty. On
the other hand, that outcome presumes that each simulation employed (a) robust estimates for emissions factors and
reasonable estimates for the variability in those factors for each gas used and each fluorinated GHG-using technology, and
(b) a distribution representative of fluorinated GHG usage in semiconductor manufacturing. Because these presumptions
don't hold—the analysis instead relies on a paucity of available EF data and only one fluorinated GHG usage distribution
whose representativeness is unknown—the balance tips toward the unexpected. That there is a reduction in the spread of
estimates of PEEs from the Refined Method to the proxy Tier 2d method seems noteworthy (see Table C-2). However, how
much weight should be given to this observation is affected by the concerns regarding the paucity of available EF and gas
usage information mentioned earlier.
Despite the ambiguity in these results, they do point to two reasons for moving away from the IPCC Tier 2b method: (a)
emissions estimated with the IPCC Tier 2b methods are understated, and (b) there is a void in facility-level, emissions-
relevant information and a need to revise the IPCC Tier 2b EFs. The results of this evaluation also show that moving from
the use of a default factor(s) for etch sub-types to the use of recipe-specific measurements appears to increase certainty in
emission calculations.
November 2010
43

-------
Subpart I Technical Support Document
More facility-level, emissions-relevant information would permit an uncertainty analysis to be performed with more
meaningful and robust results. However, additional information about facility-level gas usage and EFs is needed before one
can have confidence in facility-level reported emissions. While using properly weighted default EFs would yield reliable
estimates of total U. S. emissions from semiconductor manufacturing, considerable uncertainty in facility-level emissions
would remain.
Given the lack of available facility-level gas usage and emission information, particularly for the etching process type, as
made apparent by this analysis, EPA is requiring that larger facilities report EFs for etching technologies and use verified
gas apportioning models.
November 2010
44

-------
Subpart I Technical Support Document
Appendix D - Support for EPA's Definition of Similar Recipe
Accurately tracking fluorinated greenhouse gas (fluorinated GHG) emissions during semiconductor manufacturing
is a complex endeavor. Out of as many as seven hundred or so distinctly different manufacturing steps required to
simultaneously produce as many as 500 electronic devices or so on a single substrate, which are completed within
the course of approximately a month, many of those steps use as many as six fluorinated GHGs, either alone or in
various combinations for plasma etching. (Boyd et al., 2009; Linx-consulting, 2010)
Estimating annual facility-level fluorinated GHG emissions can be simplified by grouping manufacturing steps into
process types or sub-types and using emission factors within each type or sub-type Accuracy in a facility's annual
estimate of emissions would be maintained with this approach provided the variability in the factors that govern
emissions across each process type or sub-type is sufficiently small and, ideally, random.
For purposes of estimating and reporting facility-level fluorinated GHG emissions, EPA defines three process
types—chamber cleaning, wafer cleaning and etching. The chamber cleaning type is further subdivided into three
process sub-types: in situ plasma, remote plasma and in situ thermal. For each sub-type, EPA developed default
emission factors—figures for gas utilization and by-product formation, as appropriate—for each gas that all facilities
may use.
EPA provides no process sub-types for (plasma) etching. EPA differentiates, for this process type only, the
emissions calculating and reporting requirements for large and other semiconductor manufacturing facilities. For
other semiconductor facilities, EPA developed a default emission factor for each gas that may be used to estimate
and to report emissions for plasma etching. For large facilities, EPA requires that, for each gas used, facilities
measure and report emission factors for each plasma etching recipe, that is not included in a set of similar recipes, as
well as to report facility-level emissions for each of the recipes. Because there may be scores of plasma etching
recipes used during device manufacture EPA expects grouping similar recipes will simplify and reduce the burden
of emissions reporting with but a relatively small effect on the overall accuracy of reported facility-level emissions.
For recipes and associated gases that meet EPA's definition of similar recipe, a large facility may measure the
emission factor(s) for one of those recipes, as well as report, using the measured emission factors, the corresponding
emissions associated with gases used in those similar recipes.
This appendix provides technical support for EPA's definition of "similar recipe". The appendix begins with a brief
description—a primer—of the physics and chemistry that govern fluorinated GHG-based plasma etching during
semiconductor manufacture. This discussion serves two purposes: (1) to identify the experimental parameters that
govern current practice of fluorinated GHG plasma etching, and (2) to bring into clear view the influence that
variations in those parameters have on etch efficacy. Following this primer is a summary of the parameters that
define plasma-etching practices during semiconductor manufacturing and, therefore, that potentially influence the
concomitant fluorinated GHG emissions. The appendix then turns to a review of publicly available studies that
investigate fluorinated GHG emissions as functions of those plasma-etching parameters. The appendix concludes
with a definition for similar recipes, a definition that specifies the parameters as well as allowable ranges in those
parameters—an allowable parameter space. EPA expects increases or decreases in these parameters within the
specified ranges that define this space would result in acceptably small emission increases or decreases.
Plasma Etching— A Primer
In semiconductor manufacturing, plasma etching practice is predominantly empirical, augmented by rules-of-thumb
and heuristics. As Hills and Cook (2000) state, "The complexity encountered in actual processing requires much
more information than is available experimentally or that can be derived by even the most complex calculations... A
practical plasma etching process involves interacting factors or species production and control in the gas phase, a
multitude of chemical pathways inherent to the complexity of the molecules used, many poorly understood
interactions with the complex (and 'dirty') wafer surfaces and many device structure specifics.. .Plasma chemistries
are thus chosen by a combination of experience, first principles and to some extent empirical 'lore'."
A phenomenological discussion is sufficient to establish a relationship between etching, emissions and controllable
process parameters. In what follows, only as much of the physics and chemistry as needed is presented: the
boundary is chosen in order to frame EPA's definition of similar recipes.
Plasma etching—specifically reactive ion etch (RIE), also called dry etch, is most simply defined as "the removal of
material from a wafer surface with gaseous reactants to produce gaseous products, which are then pumped away and
November 2010
45

-------
Subpart I Technical Support Document
appropriately disposed" (Hills and Cook, 2000). The objective of dry etching is to reproducibly produce a pattern
into a substrate with certain precision, across a substrate and from one substrate to the next. RIE takes place in
specifically designed reactors, of many designs, into which reactants flow, substrates are placed, and power is
supplied (to sustain the plasma).51 The scholarly and patent literature show that developing practical plasma etching
recipes involves, for a given reactor design, experimentally identifying the reactor pressure, reactant composition
and flow rate, and power that produces suitable etch rates, feature dimension and profile, selectivity, etc. RIE is
characterized by two concurring phenomena: physical sputtering and chemical reaction, which result, to varying
degrees, material selectivity52 and etch anisotropy (directionality).
bombarding ions
bombarding ions
bombarding ions
photoresist
1	mask
positively inclined/
, sidewalls /

photoresist





\ isotropic etch
mask


sidewall
passivation
layer



substrate
ion induced chemically induced etching
a) physical sputtering
b) chemical etching
c) balanced chemical etching and frotecth/e
ion enhanced material remove
Figure D-l. RIE Etching showing (a) sputtering, (b) chemical etching and (c) sputtering and chemical etching.
Top (dark layer) is mask and lower layer is material being removed (etched)
Figure D-l illustrates these two concurring phenomena; it also shows how the image of a mask is reproducibly
transferred into the film (substrate). Physical sputtering describes the dislodging of material, which occurs when
sufficiently energetic but chemically inert ions hit a surface and "kick out" atoms or molecular fragments. As the
momentum carried by particles transfers energy to surface atoms (which is generally most effective under an angle
of «60° measured from vertical), material removal leads to positively inclined sidewalls. Sputtering also erodes the
photoresist mask (See Figure D-la) (Rossnagel, 2000).
Chemical etching results from reactive species created in the plasma, formed from a mixture of gaseous materials
that breakdown into reactive components. These reactants form volatile products upon reacting with the substrate
material. Reactants are chosen in part for their selectivity to either not react with the mask material or react at a
much slower rate than with the underlying substrate. Chemical etching, however, is isotropic (multidirectional) and,
without counterbalancing effects, results in under-etching and rounded profiles (See Figure D-l b) (Hills and Cook,
2000).
Balanced physical and chemical material removal leads to vertical etch profiles. Additionally, in situ chemistry leads
to formation of sidewall passivation layers, which achieves etch directionality. Chemically formed, etch-inhibiting
films (e.g., polymer, oxide, etc.) occur on the sidewalls but not on horizontal surfaces where they are continuously
removed by ion-bombardment (See Figure D-l c) (Hills and Cook, 2000).
Etching reagents include fully fluorinated carbon- or non-carbon-containing compounds (e.g., CF4, C2F2, C2F4, C2F6,
C3F8, C4F6, C4F8, C5F8, SF6, NF3, BF3) and hydrofluorocarbon compounds (e.g., CHF3, CH3F, CH2F2, C2H2F2).
Characterizing Fluorocompound RIE Processes Using the Film SiNx Example
Plasma etching of Si, Si02, SiNx films, for example, with fluorocarbon and hydrofluorocabon gases is well studied
(Oehrlein,1990; Lehman and Widmer,1978; Booth, 1999; and Standaert, et al., 2004, Liebennan and Lichtenberg,
2005). Argon appears to be a preferred diluent gas. To achieve the proper etch characteristics (e.g., etch rates.
Because of the numerous loss processes that occur during plasma etching, plasmas are not self-sustaining and therefore, to
achieve steady-state, require continuous power input, in the form of high frequency electromagnetic energy. In semiconductor
manufacturing, the electromagnetic radiation is in the radio frequency (RF) spectral region. For simplicity of discussion, the term
power is used in this report rather than RF power.
52 Etch selectivity measures the differential etching between photoresist material and silicon-based film. The photoresist forms
the pattern to be transferred to the substrate via the etching process (Garza et al., 2000).
November 2010
46

-------
Subpart I Technical Support Document
verticality, selectivity, uniformity, critical dimension (CD) control, to name an important few53) additives such as
02, H2 or N2 are common and patent literature points to the use of H202, CO, C02 and perhaps N20 (Zhu et al.,
2005).
While Kushner and co-workers have successfully modeled the chemical and physical factors that govern etch rates
for relatively simple systems such as C2F6 (Zhang and Kushner 1999, 2000a, 2000b) that success falters with C/ft
(Sankaran, A., A. Vasenkov and M. J. Kushner, 2002). A brief description of the well-studied combination of the
SiNx-PMMA (polymethylmethacrylate, resist) combination will illustrate this complexity.
In CF4 or CHF3 plasma, F atoms and CFX (x = 1-3) radicals as well as ions, formed by the transfer of the kinetic
energy of electrons to reactants, are generated and transported to the substrate surface via diffusive processes or
accelerated by the electric field of the DC bias applied to the power electrode of the RF plasma. (The DC bias
improves directionality but if too high can result in unwanted increase in substrate temperature, so the DC-bias
usually remains fixed; chillers are used to control the substrate temperature.)54
Higher reactor pressure may increase or reduce the dissociation (emissions and etch rate) of CF4 into CF3, CF2, CF
and F. Higher reactor pressure means higher reactor gas density and therefore increased dissociation (but perhaps
lower by-product formation depending on the dominant etching reactant). The etch rate, however may drop because
the increased rate of polymer (CxFy) formation (vide infra).55 Decreasing pressure leads to a decrease in ion
scattering (ion energy is lost through collisions) leading to higher ion bombardment energies, and, in turn, higher
physical etching.
Changes in reactant flow rate affect etching rate and emissions depending on reactor conditions. Under very low
flow rates, increasing flow increases etching rate and emissions because reaction rates are limited by the supply of
reactants. In this regime, increasing the flow rate would increase etching and emissions. At flows above the reactant-
supply-limited regime, reaction rates are limited by the reaction time compared to the reactor residence time.
Shortening the residence time (by increasing the flow rate) compared to the reaction time would decrease etching
rate and emissions. Increasing the residence time (by decreasing flow rate) would increase etching rate and
emissions.
As previously noted, etching rate is the net of competing physical and chemical phenomena. Gas phase
oligomerization of CFX radical results in large CxFy molecule formation (Booth, 1999), which forms a fluorocarbon
polymer film on all surfaces (Standaert et al., 2004). The main etchant of SiNx is the F atom (Li et al., 1995).
Volatile etch products (SiFx, CNF, NO, N2) are generated and pumped away (See Figure D-2). Formed CxFy is
removed by etching and bombardment leading to reformation of CF4 (or by-product CF4 formation when the virgin
etchant is only CHF3).
53	It will become evident later in this report that fluorinated GHG emissions have not been a major consideration when
characterizing the manufacturability of plasma etching processes.
54	A sufficiently high DC voltage assures net transport of positive ions to the surface of the substrate.
55	By-product formation and polymer formation may be reduced because both are second order in reactants resulting in
formation rates changing in proportion to the square of reactant gas densities.
November 2010
47

-------
Subpart I Technical Support Document
etch products
gas phase reactants
and products
SiFx CHF
CO
HF
thick CkF,, sidewall
polymer passivation
layer
OH
CF,
CF
polymer formation
CF-
CF-
ion momentum
distribution
¦etching p
C}!Fv fluorocarbon polymer layer
at bottom thinned by ion bombardment
SiN„ substrate
photoresist mask
Figure D-2. Illustration of physical and chemical processes and interactions during CF4 plasma etching of
SiNx film
Much of this complex chemistry can be qualitatively explained by the F-to-C ratio (F/C), where a larger ratio favors
etching and a smaller ratio favors CxFy formation/deposition (Miyata et al., 1997). The balance between polymer
formation and etching also shifts with other process parameters such as pressure and power, although higher power
adversely affects etching selectivity via photoresist removal due to increased ion bombardment. In general, it
appears that increasing pressure favors CxFy formation and more intense ion bombardment (power) favors CxFy
formation, and concomitantly, SiNx etching (Dulak et al., 1991), albeit with lower selectivity (vide supra). Recently,
Rabilland et al. (2007) provided additional evidence that supports this mechanism for Si, SiCH, Si02 and SiOCH
films using pulsed plasma.
Adding 02, H2 or N2 gases can achieve some fine control of the chemical aspects of the etching characteristics of
fluorocarbon plasmas:
•	H2 extracts fluorine atoms from the plasma via the reaction (H+F->HF),which suppresses SiNx etching and
CxFy formation (Oehrlein, 1990)
•	02 scavenges H and carbon (H+O ->OH ad C+xO->COx), which suppresses CxFy formation and increases F,
which, in turn, increases SiNx etching (Oehrlein, 1990)56
•	CO scavenges F (CO+xF->COFx), which enhances polymer formation and suppresses etching (Zhu et al., 2005)
•	Argon and N2 principally cause more intense ion bombardment and a dilution of CFX radicals, both resulting in
thinner CxFy layers and therefore enhanced SiNx etching. N2 is also responsible for promoting the etch rate
enhancing the reaction (2N +2 SiN —> 2Si +2N2) (Li et al., 1995)
If CHF3 were used with or instead of CF4, the affect of hydrogen on etch rate comes with the etchant, which would
lower the F/C ratio relative to a pure CF4 plasma. If required, the etch rate could be adjusted upward by adding a
small amount of 02 to the gas composition, which would lower H via the H+0-»0H reaction.
See also Reid and Hughes (2007) who demonstrate a similar effect of increasing 02 flow on plasma etching of carbon doped
ultra-low-/i dielectric layers.
November 2010
48

-------
Subpart I Technical Support Document
The description of this relatively simple etching chemistry provides a qualitative model for the chemistry for more
complex molecules. A principle difference between CF4 and, say, C2F6 system, is by-product formation: CF4 is
formed when C2F6 is the etchant. CF4 formation is postulated to be the result of the branching reaction
C2F6 + e —> C2F5 + F + e
C2F6 + e —> CF3 + F + e
where the latter leads to CF4 formation by recombination of CF3 and F. It is the exponential increase in these
branching reactions that rapidly complicates the chemistry of etchants like C3F8, C4F8, etc. (Sankaran, Vasanov and
Kushner, 2002).
Another essential feature of plasma etching is allowance for flow stabilization. Patent literature (see, for example,
Hudson and Tietz, 2005) and EPA experience (EPA, 2008) indicates plasma etching processes allow for periods of
<1 second to a few seconds to assure pressure and flows are stable and uniform prior to striking plasma. Because
there is no destruction of fluorinated GHG during this period, which can represent a consequential fraction of total
process time and, therefore, a substantial part of total emissions for plasma-etching recipes when fluorinated GHG
destruction is high (plasma on), this flow stabilization time is also an essential feature of a plasma etch recipe and, in
turn, included in EPA's definition of a similar recipe. EPA has demonstrated that because correcting measured
emissions for changing recipe time can be made, only stabilization time and not total etching time is relevant when
defining similar recipes.
A Precise Definition for Similar Recipes: Defining the Parameter Space for the Purpose of Estimating and
Reporting Emissions
As illustrated in the plasma etching primer, the parameters that equipment and electronic device manufacturers
manipulate to achieve commercially successful plasma etching recipes are power, reactor pressure, chemical
composition, constituent flow rates and flow stabilization time. Anecdotal reports indicate that device manufacturers
manipulate these parameters for a variety of reasons and in degrees that are understandably proprietary when
developing the manufacturing process steps for a specific electronic device. These, then, are the parameters EPA
considered in formulating a definition for similar recipes.
EPA unsuccessfully searched publicly available literature for studies that examined the influence of variations in
these process parameters on fluorinated GHG emissions. What emerged were studies on how variations in plasma-
etch parameters influence manufacturing benchmarks such as etch rate and etch selectivity as well as dimensional
control and uniformity across a surface film of an etch feature. EPA did not identify published studies of fluorinated
GHG utilization or fluorinated GHG by-product formation, expressed as functions of plasma etching process
variables (vide supra).57
Precisely defining "similar recipe" for plasma etching presents challenges because of the absence of published
studies of fluorinated GHG emissions as functions of the required process parameters (vide supra). EPA met this
challenge by recognizing the parallel between plasma etching, which selectively removes portions of a film in order
to transfer a pattern to a substrate, and plasma cleaning, which uniformly removes unwanted film that had been
previously and unavoidably deposited on chamber walls. In situ plasma chamber cleaning relies on the same physics
and chemistry that produces precise features (which was described in the previous section) to remove (etch-away)
undesirable silicon-containing films from chemical reactor surfaces following the chemical deposition of films on
substrates.
The practical parallels between plasma patterning and plasma cleaning are many. Both are driven by entangled
productivity and performance objectives. Manufacturing productivity—substrate throughput—means film etch rate
is an important benchmark in both substrate patterning and chamber cleaning; manufacturers relentlessly pursue
increasing substrate processing rates. Performance—device functionality and yield—means feature and defect
control are important benchmarks in both substrate patterning and chamber cleaning. Reactor pressures, chemical
57 This is not an argument for manufactures' ignoring emissions of fluorinated GHGs with high GWPs when developing plasma
etch processes. Considerable work has been expended in searching for alternative gases and chemistries, with some success
(Johnson et al., 2004). While celebrating these successes is not the main purpose of this report, the industry's increasing adoption
of CH2F2, C4F6 and C5F8, each having lower emissions per unit of input gas (albeit somewhat offset by CxHyFz by-product
formation) as well as lower GWPs, has lowered C02e emissions compared to alternatives like, for example, CF4, CHF3 and C2F6
(ISMI, 2005).
November 2010
49

-------
Subpart I Technical Support Document
composition, flow rate and power affect achieving these objectives. While reactor pressures and flow rates differ in
plasma etching and plasma cleaning recipes, the differences can be explained, in large part, by differences in surface
area (substrate area being patterned is much smaller, requiring smaller flows, than reactor surface area being
cleaned, requiring higher flows) and reactor design (high density, lower pressure reactors for patterning vs. low
density, higher pressure for chamber cleaning). While plasma etch patterning seeks anisotropy chamber cleaning
seeks isotropy; both can be controlled by the addition of oxygen (higher oxygen decreases polymer formation, which
improves the efficacy of chamber cleaning and substrate patterning).58 Both plasma patterning and chamber cleaning
recipes also seek to limit ion bombardment because in plasma patterning ion bombardment reduces selectivity and
increases substrate temperature (vide supra) and in chamber cleaning it increases the damage to reactor surfaces.
These parallels between plasma cleaning and plasma patterning together with consideration of the basic physics and
chemistry that govern plasma cleaning and plasma patterning, suggest that studies that provide information on
fluorinated GHG emissions when parameters of in situ plasma chamber cleaning recipes are varied can inform
EPA's considerations about how fluorinated GHG emissions might change were those same parameters varied in
plasma etching recipes.
EPA's search of the publicly available in situ plasma chamber cleaning literature identified three studies with
sufficient information to estimate the effect that changes in recipe parameters have on emissions. These were
optimization studies in which the authors identified the conditions (pressure and flow rate for example) that, for the
same cleaning time, resulted in lower emissions and usually lower gas usage. The three studies involved different
films and three different recipes: C2F6y02 (Daniels and Cheng, 1998), NF3 (Bing et al., 2003) and C3F8 with 02 or
N20 (Kesari et al., 1999). These fluorinated GHGs are also used in plasma etching. Changes in emissions were
reported in terms of absolute emissions (e.g., kg carbon equivalent, fluorinated GHG utilization, or both).
C2F/02: Daniels and Cheng (1998)
It appears Daniels and Cheng (1998) were the first to report optimizing a popular C2F6/02 chamber cleaning process
for fluorinated GHG emissions. Their study sought identification of the conditions that would give the lowest C2F6
emissions for the shortest chamber-cleaning time. Daniels and Cheng reported that Applied Materials (AMAT's)
standard process at the time was 600 sscm of C2F6 and 02, 4 torr reactor pressure and 1000 watts power. By
increasing the reactor pressure to 6 torr (a 33 percent increase) and reducing the C2F6 flow rate to 550 seem (an 8.3
percent decrease) while keeping the C2F6:02 ratio 1, Daniels and Cheng reported a 35 percent reduction in C2F6
emissions and a 5 percent reduction in chamber-cleaning time.
Daniels and Cheng provide data that permits estimation of the changes in C2F6 emissions from separate changes in
reactor pressure and C2F6 flow rate. The data given Figure D-3 of this appendix, which is adopted from Daniels and
Cheng's Figure 3, shows that a +10 percent change in pressure—from 4 torr to 4.4 torr—results in a 2.3 percent
reduction in C2F6 emissions. Four torr is chosen because it was the pressure for AMAT's (best known) process (vide
supra). Four torr was also the lowest pressure for which Daniel and Cheng reported emissions (Their studies showed
that lower pressures would increase emissions and clean times which was counter to their aim.). The authors,
however, do provide data for 5 torr. For a 10 percent reduction in pressure (5 torr to 4.5 torr) C2F6 emissions
increased 5 percent.
Note also that adding oxygen to in situ plasma chamber cleaning recipes decreases the occurrence of particles that can
interfere with waste stream management.
November 2010
50

-------
Subpart I Technical Support Document
Figure 3
C2F6 Emission* vs. C2F6 Inlet Flow Flats & Pressure ati:1 0*ygen:C2F6 Raila
¦4 Torr
¦5 Torr
340
u
g
i
1
HI
8
244
200
4S0
«W
800
CZFB Intel Flaw Rate (SCCM)
Figure D-3. C2F6 Emissions vs C2F6 Inlet Flow Rate & Pressure at 1:1 Oxygen: C2F6 Ratio (Extracted from
Daniels and Cheng, 1998)
A similar analysis for C2F6 flow rates follows from the authors' data provided in Figure D-3. For a 10 percent
increase in C2F6 flow (from the flow of 600 seem for the best known method [BKM] to 660 sscm) Daniels and
Cheng observed a 4.8 percent increase in C2F6 emissions while a 10 percent decrease in the BKM flow rate
produced a 7.9 decrease in C2F6 emissions. The authors did not vary power as the BKM chamber cleaning process
called for the highest power setting on the AMAT 5200 DCVD Centura.
Other authors (See Sistern et al., 2004 and references therein) report process optimization studies that result in
reducing emissions and chamber-cleaning times for C2F6/02 process (as well as for C3F8 and C4F8). However, those
studies do not report experimental details. The summary results reported by Sistern et al. (2004) for C2F6/02
chamber cleaning process are very similar if not identical to those reported by Daniels and Cheng (1998). Sistern et
al. (2004) use FTIR and QMS methods for quantifying emissions while Daniels and Cheng (1998) used only QMS.
/VF;: Bing et al. (2003)
Bing et al. (2003) studied optimization of NF3 in situ PECVD chamber cleaning. Using a design of experiment
methodology, Bing et al. observed NF3 emissions for a range of operating parameters: chamber/reactor pressure (2 -
4 torr), NF3 flow rate (200 - 350 seem), and NF3 fraction in the diluent gas (11.5 - 14.5 mole-percent). Power was
fixed at 950 W. Similar to the work of Daniels and Cheng (1998), Bing et al. (2003) showed that, over this range in
process parameters, there's a trade-off between cleaning times and NF3 emissions. Shorter cleaning times are
economically beneficial because more equipment time is available for wafer processing, which cannot occur during
chamber cleaning.
Bing et al. (2003) provide results for both emissions (kgCE) and gas utilization (U). Table D-lsummarizes their
results. The percentage changes in NF3 emission are given for a range percent change in each process variable that
EPA incorporates in its definition of similar recipe. Examination of the information presented in Table D-l shows
that, in general, a change of+10 percent around the process variables that define the BKM results in a corresponding
change of 10 percent or less in fluorinated GHG emissions.
November 2010
51

-------
Subpart I Technical Support Document
Table D-l. Changes in NF3 emissions (kgCE) and utilization for various indicated changes in parameters for
Variable
Emissions, % change in kgCE,
except as noted
Name
Nominal value for
BKM, except as noted1
Change, %, except as
noted
Pressure, torr
3
+10
+3.62
-10
-4.62
NF3, mole%
13
+10
-4.62
-10
+10.12
NF3 flow, seem
300 (Balanced)
+22 (Faster clean)
0.91 kgCE3
-17 (Lower emissions)
0.29 kgCE3
Utilization,
dimensionless
0.86 (Balanced)
Faster clean
0.79 kgCE4 (-8.1% from balanced)
Lower emissions
0.94 kgCE4 (+9.3% from balanced)
Notes:
1	BKM denotes best-known method.
2	Values estimated from Fig. 10 of Bing et al. (2003).
3	Values taken from Table 6 of Bing et al. (2003); 0.91 kgCE represents an increase of 69 percent in kgCE compared to 0.54
kgCE, what Bing et al. call the balanced process vs. 0.29 kgCE, which represents a decrease of 46 percent in kgCE
compared to balanced process (0.54 kgCE).
4	Values taken from Bing et al. (2003) Table 6. These values denote NF3 utilization, which Bing et al. call NF3 destruction
efficiency.
C3Fs/02/N20: Kesari et al. (1999)
Kesari et al. (1999) reported results from studies that examined optimization of in situ C3F8 chamber cleaning
processes for several kinds of films. These are the only reported results found for the affect of changing 02 and N20
flow on fluorinated GHG utilization (for two film types, nitride and oxide). Kesari et al. also provide results of CF4
formation as a function of 02 flow when cleaning oxide-coated chambers.
The results from Kesari et al. are summarized in Table D-2. It is evident that, for +10 percent change in nominal
process flow, the corresponding changes in emissions parameters range from 3.3 to 12.8 percent. Kesari et al. did
not offer explanations for why C3F8 utilization and CF4 formation rates decreased when either N20 or 02 flows were
increased or why CF4 product formation decreased, in the case of oxide film removal, when the 02 flow was
increased. The average change in the nominal emission parameter reported by Kesari et al., disregarding the sign, is
8.3 percent for a +10 percent changes in 02 orN20 flow rates.
Table D-2. Affect of ±10 percent changes in 02 and N20 flow rates on C3F8 utilization and CF4 by-product
Process
Process parameter
Emission parameter

Name
Nominal value
Change, %
Name
Change, %
Nitride film
N20 flow rate
400 sscm
+10
Gas utilization1
+6.3
November 2010
52

-------
Subpart I Technical Support Document



-10

-12.8



+10
Gas utilization2
-3.3
Oxide film
02 flow rate
450 sscm
-10
+3.3
+10
CF4 formation3
-12



-10
+12
Note 1. Nominal C3F8 utilization for nitride film chamber clean = 0.47
2.	Nominal C3F8 utilization for oxide film chamber clean = 0.60
3.	Nominal CF4 formation per clean = 0.25 grams
Each of these studies indicates that changes in pressure, flow rate and relative chemical composition of+10 percent
produces changes in emissions of the order of 10 percent. The results of Bing et al. (2003) and of Daniels and
Cheng (1998) also shed light on the magnitude of emissions changes from changes in process parameters greater
than 10 percent. Their results point to nonlinear relationship between fluorinated GHG emissions and variations in
process parameters. The results of Bing et al. (2003), for example, show that substantial reductions in emissions can
result from optimizing chamber-cleaning processes. This suggests EPA's definition of similar recipes should not
permit changes in process parameters beyond 10 percent.
Summary and Conclusions
EPA's review of plasma etching practices during semiconductor manufacturing revealed both the complexity of dry
etching processes and the parameter space that govern today's commercial success and fluorinated GHG emissions.
EPA's review also revealed an absence of publicly available information about the influence of plasma etching
process parameters on fluorinated GHG emissions for commercially efficacious plasma etching recipes. This
absence, while restricting the scope of EPA's review, did not restrict its ability to develop a practical definition for
similar recipes. To overcome this information gap and to identify the parameters for a practical definition of similar
recipes, EPA used an analogy between (in situ) plasma etching and in situ plasma chamber cleaning.
EPA's review confirmed that what influences commercial performance of RIE plasma etching processes is power,
DC bias, reactor pressure, chemical composition and flow rate(s). All of these parameters except DC bias influence
fluorinated GHG emissions.59
EPA's review of three experimental studies of optimizing in situ plasma chamber cleaning showed that changes of
the order of 10 percent in reactor pressure, reactant flow rates and relative chemical composition resulted in
observed changes in emissions (including emissions of by-products) and gas utilization of the order of 10 percent or
less. All of the studies reviewed suggested that changing power would influence emissions but none explicitly
presented results. The one study (Liu et al. 2000) that reported measuring the influence on power noted, while not
reporting specific measurements, that the optimized recipe conditions "were observed using similar power.. .as the
original manufacturing recipe." This result suggests that changing power is of lesser importance than changes in
other parameters in improving recipe performance.60
EPA interprets the results of its review as supporting a definition of similar recipe provided that definition does not
allow for changes in either the chemical composition and allows changes in power, reactor pressure, gaseous flow
59 The DC bias contributes to the removal of material via sputtering, which appears to comprise solid particles rather than
gaseous particles. In this manner fluorinated GHG emissions are not affected by changing DC bias. In certain instances if those
particles contain carbon, CF4 might be formed. However, even if this mode for forming CF4 were occurring in some instances,
CF4 emissions would not change except in response to a change in DC bias, which appears not be a prevalent practice.
Note also that the largest change in power incorporated into the experimental design of Liu et al. (2008) was 10 percent (from
2500 to 2750 watts), which did not evidently, improve chamber cleaning compared to changes in reactor pressure and C3F8 and
02 flow rates.
November 2010
53

-------
Subpart I Technical Support Document
rates and relative composition of 10 percent or less. Moreover, that definition would not permit change in time for
stabilizing gas flows.
It is also worth noting that this definition also applies to in situ chamber cleaning recipes by virtue of the three
studies on in situ chamber cleaning presented here. These three studies showed that changes of the order of 10
percent in reactor pressure, reactant flow rates and relative chemical composition resulted in observed changes in
emissions (including emissions of by-products) and gas utilization of the order of 10 percent or less.
References
Bing et al. (2003). Bing, J., H. H. Yang, P. R. Badowski and E. J. Karwacki, Optimization of Dilute NF3 In situ
PECVD Chamber Cleaning on an Applied Materials P-5000 DxL Reactor, SEMI CON West, 2003. Available at:
http://www.airproducts.eom/NR/rdonlyres/AA89379A-lFD6-407C-B6E4-4870E73770A5/0/JI_Word2.pdf.
Booth (1999). Booth, J. Optical and electrical diagnostics of fluorocarbon etching processes, Plasma Sources
Science and Technology 8, pp. 249-57, 1999. Available for purchase at: http://iopscience.iop.org/0963-
0252/8/2/005. Contact the EPA Docket Center (EPA docket EPA-HQ-OAR-2009-0927) for more information.
Boyd et al. (2009). Boyd, S. B., A. Horvath and D. Dornfield. Life -cycle Energy Demand and Global Warming of
Computational Logic, Environmental Science and Technology 43, pp. 7303-7309, 2009. Available at:
http://pubs.acs.org/doi/abs/10.102 l/es901514n.
Daniels, M. and A. Cheng (1998). Optimization of Chamber Cleaning Process forAMAT 5200 DCVD Centura
Lamp Heated TEOSProcess, Vol. 98-5, pp 35-40, Environmental Issues in the Electronics/Semiconductor Industries
and Electrochemical/Photochemical Methods for Air Pollution Abatement, 1998. Available at:
http://books.google.com/books?id=bcyajZCfPOOC&pg=PA35&dq=Daniels+and+Cheng+1998&hl=en&ei=PDGtT
K7bJYH58AbA47yGDw&sa=X&oi=book_result&ct=result&resnum=6&ved=0CEIQ6AEwBQ#v=onepage&q&f=f
alse.
Eds. C. R. Simpson, L. Mendicino, K. Raheshwar and J. M. Fenton, Electrochemical Society of America, 1998.
Available at:
http://books.google.com/books?id=bcyajZCfPOOC&pg=PA35&dq=Daniels+and+Cheng+1998&hl=en&ei=PDGtT
K7bJYH58AbA47yGDw&sa=X&oi=book_result&ct=result&resnum=6&ved=0CEIQ6AEwBQ#v=onepage&q&f=f
alse.
Dulak et al. (1991). Dulak, J., B. J. Howard and C. Stenbruchel, Etch mechanism in the reactive ion etching of
silicon nitride, Journal of Vacuum Science Technology A, 9 (3), pp. 775-78, 1991. Available for purchase at:
http://ieeexplore.ieee.org/Xplore/login.jsp?url=http%3A%2F%2Fieeexplore.ieee.org%2Fiel5%2F4915548%2F4936
346%2F04936425.pdf%3Farnumber%3D4936425&authDecision=-203. Contact the EPA Docket Center (EPA
docket EPA-HQ-OAR-2009-0927) for more information.
EPA (2008). Developing a reliable fluorinatedgreenhouse gas (F-GHG) destruction or removal efficiency (DRE)
measurement method for electronic manufacturing: a cooperative evaluation with Qimonda. Available at:
www.epa.gov/semiconductor/documents/qimonda_report/pdf.
Garza et al. (2000). Garza, C. M., J. D. Byers, M. Hanratty and L. Flanagan, Photoresist Materials, pp.499-541,
Handbook of Semiconductor Manufacturing Technology, Eds. Y. Nishi and R. Doering, Marcel Dekker, NY, 2000.
Available at: http://books.google.com/books?id=Qi98H-
iTgLEC&pg=PA499&dq=Garza+2000+%22photoresist+materials%22&hl=en&ei=LzWtTKSrIoKB8gbc6v2JAw&
sa=X&oi=book_result&ct=result&resnum=l&ved=0CCkQ6AEwAA#v=onepage&q&f=false.
Hills and Cook (2000). Hills, W. H. and J. M. Cook, Plasma Etching, pp. 655-686, Handbook of Semiconductor
Manufacturing Technology, Eds. Y. Nishi and R. Doering, Marcel Dekker, NY, 2000. Available at:
http://books.google.com/books?id=Qi98H-
iTgLEC&pg=PA655&dq=hills+and+cook+2000+%22semiconductor%22&hl=en&ei=wTGtTKyKBcP48Aayl-
yADw&sa=X&oi=book_result&ct=result&resnum=l&ved=0CCoQ6AEwAA#v=onepage&q&f=false.
Hudson and Tietz (2005). Hudson, E. A. and J. V. Tietz. Method of Plasma Etching using Periodic Modulation of
Gas Chemistry, U. S. Patent 2005/0136682 Al, June 23, 2005. Available at:
http://www.google.com/patents?hl=en&lr=&vid=USPATAPP11055878&id=FLGVAAAAEBAJ&oi=fnd&dq=Met
November 2010
54

-------
Subpart I Technical Support Document
hod+for+Plasma+Etching+using+Periodic+Modulation+of+Gas+Chemistry&printsec=abstract#v=onepage&q&f=fa
lse.
International Sematech (2005). Reduction ofPerfluorocarbon (PFC) Emissions: 2005 State-of-the-Technology
Report", International SEMATCHManufacturing Initiative. International Sematech, Technology Transfer
#04104693A-ENG. December, 2005. Available at: http://www.epa.gov/semiconductor-
pfc/documents/final_tt_report.pdf.
Johnson et al. (2004). Johnson, A. D., R. A. Ridgeway and P. J. Maroulis, Reduction of PFC Emissions to the
Environment Through Advances in CVD and Etch Processes, IEEE Transactions on Semiconductor Manufacturing
17(4), pp. 491-496, 2004. Available at: http://www.airproducts.eom/NR/rdonlyres/B0846B4D-34CF-472C-90CB-
B A96 A2481 lDD/0/reduction_PF C_emissions.pdf.
Kesari et al. (1999). Kesari, S., L. Zazzara, L. Tousignant, W. K. Reagen and T. Bach, Process Optimization of PFC
Emission Reduction Using CsFs Chamber Clean Processes inAMATP-5000 Tools, presented at Second
International Symposium on Emissions Issues with Materials and Processes for Electronics and Semiconductor
Industries, Seattle, WA., May 2-6, 1999. Available at:
http://multimedia.3m. com/mws/mediawebserver?mwsId=66666UuZjcFSLXTtlXTEN8T6EVuQEcuZgVs6EVs6E66
6666-.
Lehman and Widmer (1978). Lehman, H. W. and R. Widmer, Profile control by reactive sputter etching, Journal of
Vacuum Science Technology 15(2), pp.319-26, 1978. Available for purchase at:
http://ieeexplore.ieee.org/articleSale/Sarticle.jsp?arnumber=4952899. Contact the EPA Docket Center (EPA docket
EPA-HQ-OAR-2009-0927) for more information.
Li et al. (1995). Li, Y. X., P. J. French and R. F. Wolffenbuttel, Selective reactive ion etching silicon nitride over
silicon using CHF3 with N2 addition, Journal of Vacuum Science Technology 13(5), pp. 2—8-12, 1995. Available
for purchase at:
http ://ieeexplore. ieee .org/search/freesrchabstract.j sp?tp=&arnumber=4964121&query T ext%3D Selective+reactive+i
on+etching+silicon+nitride+over+silicon+using+CHF3+with+N2+addition%26openedRefinements%3D*%26searc
hField%3DSearch+All. Contact the EPA Docket Center (EPA docket EPA-HQ-OAR-2009-0927) for more
information.
Lieberman and Lichtenberg (2005). M. A. Lieberman and A. J. Lichtenberg, Principles of plasma discharges and
materials processing, 2nd Ed., Wiley-Interscience, NY, 2005. Available at:
http://books.google.com/books?id=m0iOga2XE5wC&printsec=frontcover&dq=Lieberman+and+Lichtenberg+2005
+%22plasma%22&hl=en&ei=OTKtT03TC4H88AbdlJmBDw&sa=X&oi=book_result&ct=result&resnum=l&ved
=0CCgQ6AEwAA#v=onepage&q=Lieberman%20and%20Lichtenberg%202005%20%22plasma%22&f=false.
Liu et al. (2000). Liu, W. J., S. C. Lin, S. J. Jeng, H. H. Cheng, K. C. Lee and W. H. Liaw, Study of Reducing PFC
Emissions using C3FS—PECVD Chamber clean and Applying Taguchi Experiment Method to get the Optimal
Conditions, presented at SEMI Technical Program, Improving Environmental Performance of Wafer Manufacturing
Processes, SEMICON West 2000, San Francisco, CA., July 17, 2000. Available at:
http://multimedia.3m.com/mws/mediawebserver?mwsId=66666UuZjcFSLXTtlXT2MXTtEVuQEcuZgVs6EVs6E6
66666--.
Linx-consulting, (2010). Available at: http://www.linx-consulting.com/Process-flow.html.
Miyata et al. (1997). Miyata, K., M. Hori and T, Goto, CFX (x = 1-3) radical densities during Si, Si02 and Si3N4
etching employing electron cyclotron resonance CHF3 plasma, Journal of Vacuum Science Technology B 15(3), pp.
568-72, 1997. Available for purchase at:
http://ieeexplore.ieee.org/Xplore/login.jsp?url=http%3A%2F%2Fieeexplore.ieee.org%2Fiel5%2F4915548%2F4930
989%2F04931009.pdf%3Farnumber%3D4931009&authDecision=-203. Contact the EPA Docket Center (EPA
docket EPA-HQ-OAR-2009-0927) for more information.
Oehrlein (1990). Oehrlein, G. S. Reactive ion etching, in Handbook of Plasma Processing Technology, Eds. S. M.
Rossnagel, J. J. Cuomo and W. D. Westwod, pp. 196-232, Noyes Publications, Park Ridge, N. J., 1990. Available
at:
http://books.google.com/books?id=bBjpoLsyycMC&printsec=frontcover&dq=Handbook+of+Plasma+Processing+T
echnology&hl=en&ei=VT6tTMytJ8T38AbW_7yADw&sa=X&oi=book_result&ct=result&resnum=l&ved=0CDQ
Q6 AEw AA#v=onepage&q&f=false.
November 2010
55

-------
Subpart I Technical Support Document
Rabilland et al. (2007). Rabilland, V., G. Carty and C. Cardinaud. . I model for Si, SiCH, Si02, SiCOH and porous
SiOCH etch rate calculation in inductively coupled fluorocarbon plasma with a pulsed bias: importance of the
fluorocarbon layer, Journal of Applied Physics, 102(6), p. 3306, 2007. Available at:
http://books.google.com/books?hl=en&lr=&id=bBjpoLsyycMC&oi=fnd&pg=PA196&dq=Oehrlein,+G.+S.+Reacti
ve+ion+etching,+1990&ots=DyevdCXKqE&sig=qzAB2QjbNaPX3pFlRqgMllMIllM#v=onepage&q&f=false.
Reid and Huges (2007). Reid, I. and G, Hughes, Investigation of varying C4F/O2 gas ratios on the plasma etching
of carbon doped ultra-low-k dielectric layers, Semiconductors Science and Technology, 22, pp. 636-640, 2007.
Available for purchase at: http://iopscience.iop.Org/0268-1242/22/6/009. Contact the EPA Docket Center (EPA
docket EPA-HQ-OAR-2009-0927) for more information.
Rossnagel (2000). Rossnagel, S. M., Physical Vapor Deposition, in Handbook of Semiconductor Manufacturing
Technology, Eds. Y. Nishi and R. Doering, pp. 395-413, Marcel Dekker, NY, 2000. Available at:
http://books.google.com/books?id=Qi98H-
iTgLEC&pg=PA499&dq=Garza+2000+%22photoresist+materials%22&hl=en&ei=LzWtTKSrIoKB8gbc6v2JAw&
sa=X&oi=book_result&ct=result&resnum=l&ved=0CCkQ6AEwAA#v=onepage&q&f=false.
Sankaran, Vasenkov and Kushner (2002). Sankaren, A., Al Vazsenkov and M. J. Kushner, Fluorocarbon Etching of
Porous Silicon Dioxide: Surface Plasma Chemistry and Surface Kinetics, presented at Advanced Metalization
Conference, San Diego, CA, October 2002. Available at:
http://uigelz.eecs.umich.edu/pub/presentations/advmet_1002.pdf.
Sistern et al. (2004). Sistern, M. I., A. D. Johnson, D. L. Elder, Bing Ji and E. J. Karwacki, Improving the
Environmental Performance of in situ PECVD Chamber Cleaning Processes by Studies of CVD Reactor Clean
Performance and Field Evaluation of Optimized Processes, Proceedings Electronics Goes Green Conference,
Berlin, Germany, September 6-8, 2004. Available at: http://www.airproducts.com/NR/rdonlyres/FA31663F-B3A5-
493B-A061-0D423C4B9619/0/Mark4EGG2004papenj rPMrev2.pdf.
Standaert et al. (2004). Standaert, T., C. Hedlund, E. A. Joseph, G. S. Oehrlein and T. J. Dalton, Role of
fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride and amorphous hydrogenated
silicon carbide, Journal of Vacuum Science Technology A 22 (1), pp. 53-60, 2004. Available at:
http://www.ireap.umd.edu/ppm/Papers/PPM2004-2.pdf.
Tsang, Clark. Worldwide LED Investment Momentum to Continue into 2011. SEMI Industry Research and Statistics.
July 1, 2010. Available at: http://www.semi.org/en/MarketInfo/ctr_038025.
Zhang and Kushner (1999). Zhang, D. and M. J. Kushner, Investigation of Si and Si02 etch mechanisms using
integrated surface kinetics model, presented at 46th AVS International Symposium, Seattle, WA. October 25-29,
1999.	Available at: http://uigelz.eecs.umich.edu/pub/presentations/dzhang_avs99.pdf.
Zhang and Kushner (2000a). Zhang, D. and M. J. Kushner, Surface and gas phase reactions for fluorocarbon
etching ofSi02, presented at 27th IEEE International Conference on Plasma Science, New Orleans, LA. June 4-7,
2000.	Available for purchase at:
http://ieeexplore.ieee.org/search/freesrchabstract.jsp?tp=&arnumber=854551&queryText%3DSurface+and+gas+pha
se+reactions+for+fluorocarbon+etching+of+Si02%26openedRefinements%3D*%26searchField%3DSearch+All.
Contact the EPA Docket Center (EPA docket EPA-HQ-OAR-2009-0927) for more information.
Zhang and Kushner (2000b). Zhang, D., M. J. Kushner and C. Cui, Reaction mechanisms and Si02 Profile evolution
in fluorocarbon plasmas, presented at 47th AVS International Symposium, Boston, MA. October 2-6, 2000.
Available at: http://uigelz.eecs.umich.edu/pub/presentations/dzhang_avsOO.pdf.
Zhu et al. (2005). Zhu, H. H., D. R. Pirkle, M. R. Sadjadi, and S. L. Andrew, Method for plasma etching silicon
nitride, US Patent 6,92,879 B2, November 8, 2005. Available at: http://www.freepatentsonline.com/6962879.pdf.
November 2010
56

-------